Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Simple route for high-throughput fabrication of metasurfaces using one-step UV-curable resin printing

Open Access Open Access

Abstract

Phase-gradient metasurfaces are two-dimensional (2D) optical elements that can manipulate light by imposing local, space-variant phase changes on an incident electromagnetic wave. These metasurfaces hold the potential and the promise to revolutionize photonics by providing ultrathin alternatives for a wide range of common optical elements such as bulky refractive optics, waveplates, polarizers, and axicons. However, the fabrication of state-of-the-art metasurfaces typically requires some time-consuming, expensive, and possibly hazardous processing steps. To overcome these limitations on conventional metasurface fabrication, a facile methodology to produce phase-gradient metasurfaces through one-step UV-curable resin printing is developed by our research group. The method dramatically reduces the required processing time and cost, as well as eliminates safety hazards. As a proof-of-concept, the advantages of the method are clearly demonstrated via a rapid reproduction of high-performance metalenses based on the Pancharatnam-Berry phase gradient concept in the visible spectrum.

© 2023 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

The emergence of metasurfaces that consist of ultrathin subwavelength antenna arrays is a paradigm shift from bulky and heavy to compact and light optics [13]. Metasurfaces allow the phase distribution of a transmitted or reflected light wave to be arbitrarily controlled by engineering the properties and spatial arrangement of the constituent subwavelength elements, which are also known as meta-atoms [46]. This concept has enabled the realization of flat counterparts to most common optical elements, including lenses [717], polarimeters [1820], holograms [2125], beam-splitters [26], curved mirrors [27], retro-reflectors [28] and so forth [2932]. In some cases, metasurfaces even surpass their bulk counterparts to a certain extent. With the rapid development of this research field, scientific researchers have started directing their interest toward designing more functional metasurfaces capable of performing a group of tasks, which usually require an entire optical setup, such as optical tweezers [3335], planar cameras [36], light-field imaging systems [37], color routing [38], spectrometers [39], dynamic optical elements [4042], and super-resolution imaging components [43,44]. Although metasurfaces keep improving at a strong pace, contemporary systems still have significant issues that need to be addressed for flat optical components to reach their full potential.

To manufacture metasurfaces with a resolution of sub-100 nm, electron-beam lithography (EBL) is generally employed by directly illuminating a high-voltage electron-beam onto an electron-sensitive resist to produce the desired pattern [4547]. The maskless writing feature of EBL empowers it to have a high degree of freedom and the short de Broglie wavelength of electrons allows the realization of high-resolution nanostructures [48]. However, EBL requires expensive high-vacuum systems in combination with a series of magnetic lenses to accurately control the swift electrons. Therefore, it inherently has a low-throughput feature which limits the mass production of nanostructures. Similar to EBL, focused ion beam (FIB) lithography is another direct-writing process, in which the ion beam is employed instead of the electron to grind the surface of the samples by sputtering process with nanometer accuracy [49]. Therefore, FIB lithography is not suitable for large-scale high-volume manufacturing either, since it intrinsically suffers the disadvantage of slow speed and high cost. Furthermore, FIB lithography also faces manufacturing challenges such as long milling times, limited aspect ratio, ion doping, spatial drift of sample image, and sample damage during milling [5052]. To achieve the high-throughput fabrication of metasurfaces, several optical nanofabrication approaches such as plasmonic lithography [5355], interference lithography [5658], and holographic lithography [59] have been investigated. However, these processes have the common shortcoming of optical patterning, namely the diffraction limit, which stimulates the demand for other advanced nanofabrication techniques. Notably, surface plasmonic lithography that uses surface plasma excitation to realize high-resolution patterning has been developed to acquire deep subwavelength resolution beyond the diffraction limit [6062]. However, the disadvantage of this technique is that the propagation distance of the surface plasmon polariton (SPP) is severely limited due to metal loss, thus the efficiency of light projection onto the photoresist through the mask has to be improved by adding an extra specially designed structure (e.g. plasmonic cavity) to the lithography system. Nonetheless, the metasurface still cannot be fabricated in one step through this method, and the production of large-area photomask used for this technique remains an issue. Unlike the above-mentioned nanopatterning processes, nanoimprint lithography (NIL) utilizes simple mechanical steps for fabricating nanostructures [63]. There are two conventional NIL methods, thermal-NIL and UV-NIL. Thermal-NIL hardens a thermoplastic polymer resin by employing heat, whereas UV-NIL applies UV light to solidify the resin. Thus, UV-NIL broadly has a higher productivity owing to the simple system and fast response time since it does not involve a heat source. In this work, we focus on UV-NIL patterning technique to achieve practical applications of high-throughput fabrication of metasurfaces.

Generally, state-of-the-art metasurfaces working in the visible spectrum (e.g., 532 nm) are currently fabricated by highly demanding procedures that typically involve deposition of a transparent dielectric, such as $TiO_2$, $GaN$, and $Si_3N_4$, followed by lithographic patterning, additional depositions, etching, etc [64]. A cost-effective alternative material platform and fabrication method that is accessible, scalable, and involved with only nontoxic disposable materials could remarkably enhance the influence of metasurface flat optics across society. Here, as shown in Fig. 1, a simple scheme is proposed by our research group to realize high-throughput fabrication of metasurfaces using one-step UV-curable resin printing. The rapidly reproduced metalenses present good performances in beam focusing and resolution imaging. Moreover, large-area low-cost fabrication of such metasurfaces (feature size down to 60 nm and aspect ratio up to 10) is achieved only through one-step UV nanoimprint lithography incorporated with a novel UV-curable resin, namely NTTAT- 18247. To the best of our knowledge, this is the first report on use of high-refractive-index NTTAT-18247 resin as the sole printing material to accomplish high-volume manufacturing of metasurfaces. The excellent manufacturing feasibility of NTTAT-18247 is also verified in the printing of functional devices with complex graphics (e.g., optical catenary structure), showing the universality and scalability of our proposed scheme for high-throughput fabrication of multifunctional metasurfaces with irregular shapes. This simple fabrication route effectively reduces the conventional fabrication to a single lithography step and removes any subsequent need for material deposition, lift-off, or etching. Previously, polymers had been used as building blocks for traditional diffractive optics elements [6567]. Although the concept of introducing nano-particles into polymers has been recently applied in the development of large-scale metasurface fabrication [14,16], it is worth noting that so far single-step UV-curable photoresists (especially commercially available products) have not been fully explored in the context of phase gradient metasurfaces. Further, the methodology presented here is readily adaptable to deep UV-lithography, thus, paving the way for mass production of flat consumer-oriented optics and providing a huge opportunity for commercialization of multifunctional metasurfaces.

 figure: Fig. 1.

Fig. 1. Schematic of a metasurface fabricated using a UV-curable photoresist as the sole constituent material. The method involves photoresist injection, UV illumination, and delamination. This protocol eliminates any need for additional material deposition, lift-off, or etching. A macroscopic metasurface (flat metalens) can be reproduced from start to finish within a few tens of seconds, significantly faster than any existing metasurface fabrication method.

Download Full Size | PDF

2. Design and simulation of metalens

Initially, rigorous coupled-wave analysis (RCWA) algorithm was applied to select a group of geometrical designs with high transmission coefficient values. Then, a commercial software CST Microwave Studio was used to simulate the optical properties of the all-photoresist-based nanostructures with the measured refractive index $n$ and optimized geometrical parameters under the full-mode configuration. Anisotropic rectangular nanorods induce geometric phase of the transmitted cross-polarized light by nanostructure rotation. Broadband operation is a unique advantage of geometric phase due to its wavelength independence, so we use rectangular nanorods as the unit structure of the all-photoresist-based metalens. Cross-polarized light is affected by geometric phase whereas transmission of co-polarized light is irrelevant to structure rotation. Therefore, cross-polarization transmittance (CPT) is directly connected to the focusing efficiency of the metalens. When a left-handed circularly polarized (LCP) beam is normally incident to the unit structure, the Jones vector of the outgoing wave can be written as

$$J=\frac{{t}_l+{t}_s}{2}\left( \begin{array}{c} 1\\ i\\ \end{array} \right)+\frac{{t}_l-{t}_s}{2}e^{i2\theta}\left( \begin{array}{c} 1\\ -i\\ \end{array} \right)$$
where $t_l$ and $t_s$ represent the complex transmission coefficients under light incidence of linear polarization along the long and short axis of the nanorod respectively, and $\theta$ is the rotation angle of the nanorod along the z-axis. The CPT is the magnitude of the cross-polarization component, and we calculate that the CPT value of the chosen nanorod is 0.23 via CST Studio Suite S-parameters simulation. The size of nanorod is determined considering fabrication compatibility. The metalens is designed to follow a quadratic phase gradient to focus transmitted cross-polarized light without spherical aberration. The required phase gradient for the metalens is expressed as
$$\phi(x,y)=\frac{2\pi}{\lambda_d}(f_d-\sqrt{x^2+y^2+f_d^2})$$
where $\lambda _d$ = 532 nm is the design wavelength, $f_d$ = 500 $\mu$m is the design focal length, $x$ and $y$ are coordinates of each nanorod. Each nanorod in the metalens requires $\theta$=$\phi$/2 at each position. The focusing characteristic can be simulated using Lumerical FDTD (Finite-Difference Time-Domain) full-mode simulation by discretizing the phase gradient by the sampling period P= 350 nm and the diameter D= 500 $\mu$m of the metalens. Due to limitation of the computational power of the standard computer workstation, a corresponding small-area (i.e., D= 20 $\mu$m) metalens with the same unit structure and NA=0.45 (i.e., $f$=20 $\mu$m) is used to perform FDTD simulation. As shown in Fig. 2(a), we can see the geometrical layout of our simulated metalens. The specific unit structure is presented in Fig. 2(b). From Fig. 2(c-d), one can clearly observe that at $\lambda _d$ = 532 nm, transmitted cross-polarized light is focused at $f_d$= 20 $\mu$m as designed with an excellent focusing profile. Although the quadratic phase gradient is not valid for other wavelengths in the visible spectrum, they can be still focused in principle due to the broadband characterisation of Pancharatnam-Berry phase. But it is worth noting that the focal length locates at different positions resulting from chromatic aberration. As $\lambda$ increases, the focal length of diffractive lenses decreases (in our case) whereas that of a refractive lens increases [68].

 figure: Fig. 2.

Fig. 2. Design and full-mode FDTD simulation under the same NA configuration (NA=0.45) as that of the experimentally fabricated metalens.

Download Full Size | PDF

3. Experimental details

The material used for one-step metalens forming must meet two requirements to realize low-cost high-throughput fabrication: one is a demand for a sufficiently high refractive index $n$ to function as a meta-atom, and the other need is to have feasible mold pattern transfer capability. Therefore, we choose to use the NTTAT-18247 (NTT Advanced Technology Corporation) as the material of the rapidly fabricated metasurfaces to satisfy those two requirements. The NTTAT-18247 mainly consists of polymerizable acrylic resin (refer to the inset of Fig. 4 for the chemical information of acrylic resin). In addition to acrylic resin, it is worth noting that zirconium oxide nanoparticles are embedded in the NTTAT-18247 material to boost its refractive index. Since NTTAT-18247 resin does not contain solvent, it enables us to omit a pre-baking process. Secondly, the NTTAT-18247 resin allows to dispense and push a mold and substrate together with no worry of solvent affecting the substrate. Moreover, the NTTAT-18247 resin is easy to transport and process because it is not categorized in dangerous goods. As shown in Fig. 3(a), we can see that the NTTAT-18247 resin has a high transmittance in the visible spectrum (e.g. 96%@532 nm). Figure 3(b) shows the measured refractive index of NTTAT-18247 at several wavelengths and the corresponding fitted refractive index curve based on Cauchy dispersion model, which can be described as

$$n(\lambda)=n_0+\frac{n_1}{\lambda^2}+\frac{n_2}{\lambda^4}$$
where $n$ is the refractive index, $\lambda$ denotes the wavelength in the unit of ($nm$), and $n_0$, $n_1$, $n_2$ are coefficients to match the model using the measured data (provided by the manufacturer). Specifically, the NTTAT-18247 resin can be expressed by the model with coefficients of $n_0=1.6719$, $n_1=1.1452\times 10^4$, and $n_2=5.9622\times 10^8$. In general, the NTTAT-18247 was chosen as the most suitable raw material owing to its high refraction index compared with other photoresist materials, such as polymethylmethacrylate(PMMA), Polydimethylsiloxane(PDMS), Ma-N2400 and ZEP520A. As depicted in Table 1, the refractive index of NTTAT-18247 is 1.72, which is the highest among commonly used photoresist materials. On the one hand, the processing time of thermal NIL materials (e.g. PDMS and PMMA) is highly greater than that for NTTAT-18247. On the other hand, compared with one-step NTTAT-18247 printing, electron beam lithography (EBL) photoresists, Ma-N2400 and ZEP520A, both feature a much larger writing time.

 figure: Fig. 3.

Fig. 3. Optical characteristics of UV-curable nano-imprinting material NTTAT-18247 resin: (a) Optical transmittance, (b) Measured refractive index values and fitted Cauchy dispersion curve.

Download Full Size | PDF

 figure: Fig. 4.

Fig. 4. Schematic illustration of environment-friendly high-throughput fabrication workflow of photoresist-based metalenses (see the chemical formula of the main ingredient of NTTAT-18247 in the inset, marked by a red dashed arrow and a red dashed box).

Download Full Size | PDF

Tables Icon

Table 1. Property comparison table of refractive index and processing features between commonly used photoresist materials and NTTAT-18247.

Silicon template was fabricated using a 525 $\mu$m thick silicon substrate. First, we defined the metalens pattern using a positive-tone electron beam resist (ZEP520A, Zeon Chemicals) and 125 keV electron beam lithography system (Elionix). The pattern was then transferred to the silicon substrate by plasma etching in $C_4F_8$ and $SF_6$ gases with an etched depth of 600 nm. The fabricated Si template was cleaned with isopropanol (IPA) and compressed air followed by UV/Ozone cleaning to liberate any oils and reduce surface energy for coating. The template was then transferred to a vacuum desiccator affixed with an empty phial. A total of 0.1 mL of heptadecafluoro-1,1,2,2-tetrahydrodecyltrichlorosilane was added to the phial, and the desiccator was pumped down for 30 s and sealed for 20 hrs at room temperature. The template was rinsed with IPA, DI water, and then IPA again to ensure removal of any residual unbound material. The IPA was dried off with compressed air, and the master was ready for metalens high-throughput fabrication.

As shown in Fig. 4, in the beginning, a small amount of NTTAT-18247 photoresist solution was dropped into the Si template. After that, the solution on top of the Si template was covered by a 175 $\mu$m thick PET film. Finally, the assembly was illuminated by a 365 nm UV source and gently pressed by a roller from one edge to the other simultaneously in a period of 30 s. The gently rolling across the sample aims to remove any bubbles during UV exposure. The delamination between the Si template and the imprinted NTTAT-18247 metalens is effortless in our experiments due to the NTTAT-18247 is more adhesive to the PET substrate. Following the same process, the Si template was reused 20 times or more. The $20^{th}$ imprinted NTTAT-18247 metalens was used to perform beam focusing and resolution test chart imaging. After experimental tests, a 10 nm Au film was coated onto the $20^{th}$ imprinted NTTAT-18247 metalens for SEM characterization.

4. Results and discussion

The optical measurement experiments for our work were performed with a custom-built microscope setup. Polarization control of the light was obtained by using two sets of linear polarizers and achromatic quarter waveplates. A laser with green (532 nm) output light was fiber-coupled to the system. The microscope objective used for light collection and imaging was a 40$\times$/0.95 (Nikon Plan), resulting in a desired field of view and magnification. Images were collected with a CCD camera. Lastly, when detailed motion control was desired, optical components were mounted on a motorized one-axis translation stage. The beam focusing setup and resolution test chart (Positive USAF1951 Test Target 119676) imaging setup are shown in Fig. 5(a) and Fig. 5(b), respectively. In general, we have demonstrated that it is feasible to build optical metasurfaces with a UV-curable photoresist as the sole constituent material. This makes it possible to avoid most of the time-consuming, costly and sometimes hazardous processing steps typically involved in building phase gradient metasurfaces. The resulting metasurfaces are reasonably efficient (polarization conversion >20%) and performs well in the visible wavelength of 532 nm. Figure 6(a-c) confirm that the imprinted features exhibit minimal distortion and the width as small as 60 nm of the nanorods has been successfully obtained. Measurements of the cross-sectional SEM image (see Fig. 6(c) for details) reveal an aspect ratio up to 10 for the imprinted nanorods.

 figure: Fig. 5.

Fig. 5. Optical setup to characterize the beam focusing and resolution imaging properties of photoresist-based metalens. A-I refers to Laser (A), Linear polarizer (B), Quarter wavelength plate (C), Iris diaphragm (D), Metalens (E), Objective (F), Tube lens (G), CCD camera (H), and Resolution test target (I), respectively.

Download Full Size | PDF

 figure: Fig. 6.

Fig. 6. SEM images of transmissive photoresist metalens sections and the metalens optical characterization results on beam focusing and resolution test chart imaging: (a) SEM image of constituent unit nanostructures of the metalens, (b) close-up view of the unit nanostructures, (c) tilted view of the unit nanostructures with a tilt angle of 30$^\circ$, (d) beam focusing spot (white scale bar denotes a length of 1 $\mu m$), (e) image of positive USAF1951 resolution test chart (only elements 6 and 7 in group 7 are shown here, white scale bar denotes a length of 5 $\mu m$).

Download Full Size | PDF

As a proof-of-principle, the NTTAT-18247 metalenses we imprinted in batch present both narrow focusing and high-resolution imaging, as shown in Fig. 6(d) and Fig. 6(e), respectively. From Fig. 6(d), we can clearly see a tightly focused beam spot with a full width at half maximum (FWHM) of $\sim$800 nm, which is in a good agreement with that of a diffraction-limited FWHM of 600 nm (FWHM=$\frac {\lambda _d}{2\times NA}$) determined by our design wavelength and NA. As depicted in Fig. 6(e), the imaging area includes the element 5 and 6 (the minimum features) of group 7 in the positive 1951 United States Air Force (USAF) resolution test chart. Obviously, the minimum features of the USAF test chart have been distinctly resolved. Therefore, it can be concluded that our imprinted metalenses perform well in both beam focusing and resolution imaging. Moreover, the method is compatible with a wide range of substrates. The possibility to construct metasurfaces on flexible or conformable substrates open new avenues for combining flexible electronics with flat optical devices and could enable the realization of tunable attenuators by inducing specific deformations of the substrate. Although in this work we have focused on constructing geometrical (Pancharatnam-Berry) metasurfaces, the methodology, as a representative type of UV-NIL, can also be extended to build polarization independent uniform waveguiding metasurfaces based on propagation phase, since in principle the resist meta-atoms are able to support waveguiding modes.

To further demonstrate the manufacturing feasibility of NTTAT-18247 in printing functional devices with sophisticated graphics, NTTAT-18247 was used to imprint the optical catenary structure, and the results are displayed in Fig. 7. As can be seen from optical microscope and SEM images, the catenary structures with sharp edges were reproduced in high-fidelity, proving that NTTAT-18247 resin performs excellently in processing complex patterns. The equation of a catenary structure can be presented as follows:

$$y=\frac{\Lambda}{\pi}ln(|sec(\frac{\pi x}{\Lambda})|)$$
where $\Lambda$ refers to the horizontal (i.e. $x$ direction) period of catenary structure. This structure, as a fundamental building block in catenary optics, is capable of achieving continuous phase-gradient in horizontal direction and high diffraction efficiency [6971], thus the rapid and large-scale processing of such complex structures through nanoprinting technology is conducive to the widespread use of metasurfaces.

 figure: Fig. 7.

Fig. 7. Imprinted catenary structures using NTTAT-18247 resin: (a) Optical microscope image of the catenary structures in the overall view (white scale bar denotes a length of 100 $\mu m$), (b, c, d) SEM images of catenary structures in close-up views at increasing magnifications, respectively.

Download Full Size | PDF

Briefly, the macroscopic metasurfaces with a diameter $d$=0.5 mm are fabricated in a few tens of seconds using high-throughput UV Nano-imprinting lithography. The metasurfaces are stable over time and can be left in ambient conditions without any noticeable degradation for at least 6 months. Compared with the performances (e.g. focusing and imaging) of the $1^{st}$ metalens replica, the $20^{th}$ metalens replica shows negligible degradation, thus indicating the robustness of our approach. Regarding stability, the polymer metasurfaces withstand temperatures at least as high as $100^\circ$C and relatively high light intensities (up to 2 $W/cm^2$ generated by a 532 nm continuous laser source), without any apparent deterioration in the metasurface performance. It might be possible to apply a thin (less than 10 nm) conformal protective coating (via atomic layer deposition) to the metasurfaces to improve their thermal stability. Moreover, for practical use, it will be necessary to protect the delicate nanostructures from abrasion. The easiest way of accomplishing that would be to simply place a cover glass in front of the surface, as routinely conducted for protecting sensitive image sensors in current commercial products. One drawback with the imprinted metalenses in general is the inherent chromatic aberrations induced by the material dispersion as well as the design of the phase profile. Fortunately, this issue is of minor importance in narrow-band applications, especially involving lasers, because flat optical elements (e.g. metalens) are most likely to become truly competitive in laser-related optics field. Nevertheless, there are massive ongoing efforts toward realizing achromatic flat optical components. Since the refractive index dispersion of polymers are generally quite low, the technique presented in this work might prove beneficial in such endeavors. Additionally, the fabrication technique presented here is also accessible to researchers and laboratories, which lack access to the advanced tools for material growth, deposition, and etching, typically required for metasurface fabrication. Although in this work we have used a specific material (NTTAT-18247 resin), there is no doubt that the method can be adapted to any types of high-refractive-index photoresists. Thus, this technique is a step toward proliferation and commercialization of metasurface research.

5. Conclusion

In summary, we demonstrate a batch of imprinted metalenses, in which unit structures consist of only UV-curable photoresist to reduce fabrication costs. Since the photoresist used here allows to be exposed by UV-lithography, we could foresee a scheme where custom-built UV-lithography is employed to reproduce ultra-large-scale polymer-based metasurfaces, further decreasing the average processing time and eventually facilitating flat optical components to become a strong rival to bulk optics. In this sense, the UV-NIL fabrication method demonstrated here is truly general. Considering the metalenses have been successfully fabricated through one-step UV-curable resin printing without any secondary operations such as thin-film deposition and etching, the substrate compatibility can be largely extended and the productivity can be greatly increased. The fabricated metalens achieves a focusing efficiency of 20% at the target wavelength of 532 nm, and the efficiency can be further increased by optimization of structure configuration. Focusing and imaging properties of the imprinted metalens are comparable to those of the diffraction-limited system. As a prototype of low-cost metalenses, the metalens fabricated using our method will be a fundamental step toward commercialization of metalenses. With minimal defects or individual feature deviation, the Si template lifetime experiment indicates that it is feasible to be employed as a mass-production mold. Currently, the focusing efficiency of metasurfaces fabricated using the proposed technique is limited by the refractive index, aspect ratio, and the presence of a residual layer. Notably, the residual layer due to UV-NIL processing could typically be removed through appropriate etching. Therefore, more efficient metasurfaces can be achieved by relaxing the design constraints based on the minimum feature sizes and maximum aspect ratios that could be reliably fabricated using this technique. Due to the speed of the curing process, one imprint can be fabricated in 30 s, allowing for a manufacturing rate of 120 wafers per hour, enabling high-throughput and low-cost manufacturing of optical metasurfaces, thus, paving the way for their extensive applications.

Funding

National Natural Science Foundation of China (61975210); China Postdoctoral Science Foundation (2022M713159); Postdoctoral International Exchange Program Introduction Project (YJ20210184).

Disclosures

The authors declare that there are no conflicts of interest related to this article.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

References

1. N. Yu, P. Genevet, M. A. Kats, F. Aieta, J.-P. Tetienne, F. Capasso, and Z. Gaburro, “Light propagation with phase discontinuities: generalized laws of reflection and refraction,” Science 334(6054), 333–337 (2011). [CrossRef]  

2. D. Lin, P. Fan, E. Hasman, and M. L. Brongersma, “Dielectric gradient metasurface optical elements,” Science 345(6194), 298–302 (2014). [CrossRef]  

3. M. Khorasaninejad, W. T. Chen, R. C. Devlin, J. Oh, A. Y. Zhu, and F. Capasso, “Metalenses at visible wavelengths: Diffraction-limited focusing and subwavelength resolution imaging,” Science 352(6290), 1190–1194 (2016). [CrossRef]  

4. J. B. Mueller, N. A. Rubin, R. C. Devlin, B. Groever, and F. Capasso, “Metasurface polarization optics: independent phase control of arbitrary orthogonal states of polarization,” Phys. Rev. Lett. 118(11), 113901 (2017). [CrossRef]  

5. X. Luo, “Subwavelength artificial structures: opening a new era for engineering optics,” Adv. Mater. 31(4), 1804680 (2019). [CrossRef]  

6. X. Xie, M. Pu, J. Jin, M. Xu, Y. Guo, X. Li, P. Gao, X. Ma, and X. Luo, “Generalized pancharatnam-berry phase in rotationally symmetric meta-atoms,” Phys. Rev. Lett. 126(18), 183902 (2021). [CrossRef]  

7. F. Aieta, P. Genevet, M. A. Kats, N. Yu, R. Blanchard, Z. Gaburro, and F. Capasso, “Aberration-free ultrathin flat lenses and axicons at telecom wavelengths based on plasmonic metasurfaces,” Nano Lett. 12(9), 4932–4936 (2012). [CrossRef]  

8. A. Zhan, S. Colburn, R. Trivedi, T. K. Fryett, C. M. Dodson, and A. Majumdar, “Low-contrast dielectric metasurface optics,” ACS Photonics 3(2), 209–214 (2016). [CrossRef]  

9. W. T. Chen, A. Y. Zhu, M. Khorasaninejad, Z. Shi, V. Sanjeev, and F. Capasso, “Immersion meta-lenses at visible wavelengths for nanoscale imaging,” Nano Lett. 17(5), 3188–3194 (2017). [CrossRef]  

10. M. Khorasaninejad and F. Capasso, “Metalenses: Versatile multifunctional photonic components,” Science 358(6367), eaam8100 (2017). [CrossRef]  

11. R. Paniagua-Dominguez, Y. F. Yu, E. Khaidarov, S. Choi, V. Leong, R. M. Bakker, X. Liang, Y. H. Fu, V. Valuckas, L. A. Krivitsky, and A. I. Kuznetsov, “A metalens with a near-unity numerical aperture,” Nano Lett. 18(3), 2124–2132 (2018). [CrossRef]  

12. S. Wang, P. C. Wu, V.-C. Su, Y.-C. Lai, M.-K. Chen, H. Y. Kuo, B. H. Chen, Y. H. Chen, T.-T. Huang, J.-H. Wang, R.-M. Lin, C.-H. Kuan, T. Li, Z. Wang, S. Zhu, and D. P. Tsai, “A broadband achromatic metalens in the visible,” Nat. Nanotechnol. 13(3), 227–232 (2018). [CrossRef]  

13. H. Liang, Q. Lin, X. Xie, Q. Sun, Y. Wang, L. Zhou, L. Liu, X. Yu, J. Zhou, T. F. Krauss, and J. Li, “Ultrahigh numerical aperture metalens at visible wavelengths,” Nano Lett. 18(7), 4460–4466 (2018). [CrossRef]  

14. G. Yoon, K. Kim, D. Huh, H. Lee, and J. Rho, “Single-step manufacturing of hierarchical dielectric metalens in the visible,” Nat. Commun. 11(1), 2268 (2020). [CrossRef]  

15. D. Andren, J. Martinez-Llinas, P. Tassin, M. Kall, and R. Verre, “Large-scale metasurfaces made by an exposed resist,” ACS Photonics 7(4), 885–892 (2020). [CrossRef]  

16. V. J. Einck, M. Torfeh, A. McClung, D. E. Jung, M. Mansouree, A. Arbabi, and J. J. Watkins, “Scalable nanoimprint lithography process for manufacturing visible metasurfaces composed of high aspect ratio tio2 meta-atoms,” ACS Photonics 8(8), 2400–2409 (2021). [CrossRef]  

17. D. Sang, M. Xu, M. Pu, F. Zhang, Y. Guo, X. Li, X. Ma, Y. Fu, and X. Luo, “Toward high-efficiency ultrahigh numerical aperture freeform metalens: From vector diffraction theory to topology optimization,” Laser Photonics Rev. 16(10), 2200265 (2022). [CrossRef]  

18. J. B. Mueller, K. Leosson, and F. Capasso, “Ultracompact metasurface in-line polarimeter,” Optica 3(1), 42–47 (2016). [CrossRef]  

19. Y. Hu, X. Wang, X. Luo, X. Ou, L. Li, Y. Chen, P. Yang, S. Wang, and H. Duan, “All-dielectric metasurfaces for polarization manipulation: principles and emerging applications,” Nanophotonics 9(12), 3755–3780 (2020). [CrossRef]  

20. Y. Zhang, M. Pu, J. Jin, X. Lu, Y. Guo, J. Cai, F. Zhang, Y. Ha, Q. He, M. Xu, X. Li, X. Ma, and X. Luo, “Crosstalk-free achromatic full stokes imaging polarimetry metasurface enabled by polarization-dependent phase optimization,” Opto-Electron. Adv. 5(11), 220058 (2022). [CrossRef]  

21. X. Ni, A. V. Kildishev, and V. M. Shalaev, “Metasurface holograms for visible light,” Nat. Commun. 4(1), 2807 (2013). [CrossRef]  

22. G. Zheng, H. Mühlenbernd, M. Kenney, G. Li, T. Zentgraf, and S. Zhang, “Metasurface holograms reaching 80% efficiency,” Nat. Nanotechnol. 10(4), 308–312 (2015). [CrossRef]  

23. F. Zhang, M. Pu, J. Luo, H. Yu, and X. Luo, “Symmetry breaking of photonic spin-orbit interactions in metasurfaces,” Opto-Electron. Eng. 44, 319–325 (2017). [CrossRef]  

24. F. Zhang, M. Pu, P. Gao, J. Jin, X. Li, Y. Guo, X. Ma, J. Luo, H. Yu, and X. Luo, “Simultaneous full-color printing and holography enabled by centimeter-scale plasmonic metasurfaces,” Adv. Sci. 7(10), 1903156 (2020). [CrossRef]  

25. H. Gao, X. Fan, W. Xiong, and M. Hong, “Recent advances in optical dynamic meta-holography,” Opto-Electron. Adv. 4(11), 210030 (2021). [CrossRef]  

26. M. Khorasaninejad and K. B. Crozier, “Silicon nanofin grating as a miniature chirality-distinguishing beam-splitter,” Nat. Commun. 5(1), 5386 (2014). [CrossRef]  

27. J. Martínez-Llinàs, C. Henry, D. Andrén, R. Verre, M. Käll, and P. Tassin, “A gaussian reflective metasurface for advanced wavefront manipulation,” Opt. Express 27(15), 21069–21082 (2019). [CrossRef]  

28. A. Arbabi, E. Arbabi, Y. Horie, S. M. Kamali, and A. Faraon, “Planar metasurface retroreflector,” Nat. Photonics 11(7), 415–420 (2017). [CrossRef]  

29. I. Kim, W.-S. Kim, K. Kim, M. A. Ansari, M. Q. Mehmood, T. Badloe, Y. Kim, J. Gwak, H. Lee, Y.-K. Kim, and J. Rho, “Holographic metasurface gas sensors for instantaneous visual alarms,” Sci. Adv. 7(15), eabe9943 (2021). [CrossRef]  

30. P. Zheng, Q. Dai, Z. Li, Z. Ye, J. Xiong, H.-C. Liu, G. Zheng, and S. Zhang, “Metasurface-based key for computational imaging encryption,” Sci. Adv. 7(21), eabg0363 (2021). [CrossRef]  

31. Q. Song, M. Odeh, J. Zú niga-Pérez, B. Kanté, and P. Genevet, “Plasmonic topological metasurface by encircling an exceptional point,” Science 373(6559), 1133–1137 (2021). [CrossRef]  

32. R. Fu, K. Chen, Z. Li, S. Yu, and G. Zheng, “Metasurface-based nanoprinting: principle, design and advances,” Opto-Electron. Sci. 1(10), 220011 (2022). [CrossRef]  

33. H. Markovich, I. I. Shishkin, N. Hendler, and P. Ginzburg, “Optical manipulation along an optical axis with a polarization sensitive meta-lens,” Nano Lett. 18(8), 5024–5029 (2018). [CrossRef]  

34. G. Tkachenko, D. Stellinga, A. Ruskuc, M. Chen, K. Dholakia, and T. F. Krauss, “Optical trapping with planar silicon metalenses,” Opt. Lett. 43(14), 3224–3227 (2018). [CrossRef]  

35. H. Xin, Y. Li, Y.-C. Liu, Y. Zhang, Y.-F. Xiao, and B. Li, “Optical forces: from fundamental to biological applications,” Adv. Mater. 32(37), 2001994 (2020). [CrossRef]  

36. A. Arbabi, E. Arbabi, S. M. Kamali, Y. Horie, S. Han, and A. Faraon, “Miniature optical planar camera based on a wide-angle metasurface doublet corrected for monochromatic aberrations,” Nat. Commun. 7(1), 13682–13689 (2016). [CrossRef]  

37. R. J. Lin, V.-C. Su, S. Wang, M. K. Chen, T. L. Chung, Y. H. Chen, H. Y. Kuo, J.-W. Chen, J. Chen, Y.-T. Huang, J.-H. Wang, C. H. Chu, P. C. Wu, T. Li, Z. Wang, S. Zhu, and D. P. Tsai, “Achromatic metalens array for full-colour light-field imaging,” Nat. Nanotechnol. 14(3), 227–231 (2019). [CrossRef]  

38. B. H. Chen, P. C. Wu, V.-C. Su, Y.-C. Lai, C. H. Chu, I. C. Lee, J.-W. Chen, Y. H. Chen, Y.-C. Lan, C.-H. Kuan, and D. P. Tsai, “Gan metalens for pixel-level full-color routing at visible light,” Nano Lett. 17(10), 6345–6352 (2017). [CrossRef]  

39. M. Faraji-Dana, E. Arbabi, A. Arbabi, S. M. Kamali, H. Kwon, and A. Faraon, “Compact folded metasurface spectrometer,” Nat. Commun. 9(1), 4196–4198 (2018). [CrossRef]  

40. H.-S. Ee and R. Agarwal, “Tunable metasurface and flat optical zoom lens on a stretchable substrate,” Nano Lett. 16(4), 2818–2823 (2016). [CrossRef]  

41. A. Afridi, J. Canet-Ferrer, L. Philippet, J. Osmond, P. Berto, and R. Quidant, “Electrically driven varifocal silicon metalens,” ACS Photonics 5(11), 4497–4503 (2018). [CrossRef]  

42. S. Colburn, A. Zhan, and A. Majumdar, “Varifocal zoom imaging with large area focal length adjustable metalenses,” Optica 5(7), 825–831 (2018). [CrossRef]  

43. E. T. Rogers, J. Lindberg, T. Roy, S. Savo, J. E. Chad, M. R. Dennis, and N. I. Zheludev, “A super-oscillatory lens optical microscope for subwavelength imaging,” Nat. Mater. 11(5), 432–435 (2012). [CrossRef]  

44. Z. Li, T. Zhang, Y. Wang, W. Kong, J. Zhang, Y. Huang, C. Wang, X. Li, M. Pu, and X. Luo, “Achromatic broadband super-resolution imaging by super-oscillatory metasurface,” Laser & Photonics Reviews 12(10), 1800064 (2018). [CrossRef]  

45. Y. Chen, “Nanofabrication by electron beam lithography and its applications: A review,” Microelectron. Eng. 135, 57–72 (2015). [CrossRef]  

46. G. Yoon, I. Kim, S. So, J. Mun, M. Kim, and J. Rho, “Fabrication of three-dimensional suspended, interlayered and hierarchical nanostructures by accuracy-improved electron beam lithography overlay,” Sci. Rep. 7(1), 6668 (2017). [CrossRef]  

47. P. Doll, A. Al-Ahmad, A. Bacher, A. Muslija, R. Thelen, L. Hahn, R. Ahrens, B. Spindler, and A. Guber, “Fabrication of silicon nanopillar arrays by electron beam lithography and reactive ion etching for advanced bacterial adhesion studies,” Mater. Res. Express 6(6), 065402 (2019). [CrossRef]  

48. C. Jung, Y. Yang, J. Jang, T. Badloe, T. Lee, J. Mun, S.-W. Moon, and J. Rho, “Near-zero reflection of all-dielectric structural coloration enabling polarization-sensitive optical encryption with enhanced switchability,” Nanophotonics 10(2), 919–926 (2021). [CrossRef]  

49. K. Gamo, “Nanofabrication by fib,” Microelectron. Eng. 32(1-4), 159–171 (1996). [CrossRef]  

50. A. A. Tseng, “Recent developments in nanofabrication using focused ion beams,” Small 1(10), 924–939 (2005). [CrossRef]  

51. V.-C. Su, C. H. Chu, G. Sun, and D. P. Tsai, “Advances in optical metasurfaces: fabrication and applications,” Opt. Express 26(10), 13148–13182 (2018). [CrossRef]  

52. P. Li, S. Chen, H. Dai, Z. Yang, Z. Chen, Y. Wang, Y. Chen, W. Peng, W. Shan, and H. Duan, “Recent advances in focused ion beam nanofabrication for nanostructures and devices: Fundamentals and applications,” Nanoscale 13(3), 1529–1565 (2021). [CrossRef]  

53. P. Gao, N. Yao, C. Wang, Z. Zhao, Y. Luo, Y. Wang, G. Gao, K. Liu, C. Zhao, and X. Luo, “Enhancing aspect profile of half-pitch 32 nm and 22 nm lithography with plasmonic cavity lens,” Appl. Phys. Lett. 106(9), 093110 (2015). [CrossRef]  

54. C. Wang, W. Zhang, Z. Zhao, Y. Wang, P. Gao, Y. Luo, and X. Luo, “Plasmonic structures, materials and lenses for optical lithography beyond the diffraction limit: a review,” Micromachines 7(7), 118 (2016). [CrossRef]  

55. F. Hong and R. Blaikie, “Plasmonic lithography: recent progress,” Adv. Opt. Mater. 7(14), 1801653 (2019). [CrossRef]  

56. Y. Oh, J. W. Lim, J. G. Kim, H. Wang, B.-H. Kang, Y. W. Park, H. Kim, Y. J. Jang, J. Kim, D. H. Kim, and B.-K. Ju, “Plasmonic periodic nanodot arrays via laser interference lithography for organic photovoltaic cells with> 10% efficiency,” ACS Nano 10(11), 10143–10151 (2016). [CrossRef]  

57. S. Bagheri, N. Strohfeldt, F. Sterl, A. Berrier, A. Tittl, and H. Giessen, “Large-area low-cost plasmonic perfect absorber chemical sensor fabricated by laser interference lithography,” ACS Sens. 1(9), 1148–1154 (2016). [CrossRef]  

58. Z. Gan, J. Cai, C. Liang, L. Chen, S. Min, X. Cheng, D. Cui, and W.-D. Li, “Patterning of high-aspect-ratio nanogratings using phase-locked two-beam fiber-optic interference lithography,” J. Vacuum Sci. Technol. B 37(6), 060601 (2019). [CrossRef]  

59. T. Jeon, D.-H. Kim, and S.-G. Park, “Holographic fabrication of 3d nanostructures,” Adv. Mater. Interfaces 5(18), 1800330 (2018). [CrossRef]  

60. J. Luo, B. Zeng, C. Wang, P. Gao, K. Liu, M. Pu, J. Jin, Z. Zhao, X. Li, H. Yu, and X. Luo, “Fabrication of anisotropically arrayed nano-slots metasurfaces using reflective plasmonic lithography,” Nanoscale 7(44), 18805–18812 (2015). [CrossRef]  

61. L. Liu, X. Zhang, Z. Zhao, M. Pu, P. Gao, Y. Luo, J. Jin, C. Wang, and X. Luo, “Batch fabrication of metasurface holograms enabled by plasmonic cavity lithography,” Adv. Opt. Mater. 5(21), 1700429 (2017). [CrossRef]  

62. P. Gao, M. Pu, X. Ma, X. Li, Y. Guo, C. Wang, Z. Zhao, and X. Luo, “Plasmonic lithography for the fabrication of surface nanostructures with a feature size down to 9 nm,” Nanoscale 12(4), 2415–2421 (2020). [CrossRef]  

63. L. J. Guo, “Nanoimprint lithography: methods and material requirements,” Adv. Mater. 19(4), 495–513 (2007). [CrossRef]  

64. W. T. Chen, A. Y. Zhu, and F. Capasso, “Flat optics with dispersion-engineered metasurfaces,” Nat. Rev. Mater. 5(8), 604–620 (2020). [CrossRef]  

65. M. Rahlves, M. Rezem, K. Boroz, S. Schlangen, E. Reithmeier, and B. Roth, “Flexible, fast, and low-cost production process for polymer based diffractive optics,” Opt. Express 23(3), 3614–3622 (2015). [CrossRef]  

66. P. Wang, N. Mohammad, and R. Menon, “Chromatic-aberration-corrected diffractive lenses for ultra-broadband focusing,” Sci. Rep. 6, 21545 (2016). [CrossRef]  

67. K. T. Lim, H. Liu, Y. Liu, and J. K. Yang, “Holographic colour prints for enhanced optical security by combined phase and amplitude control,” Nat. Commun. 10(1), 25–28 (2019). [CrossRef]  

68. F. Aieta, M. A. Kats, P. Genevet, and F. Capasso, “Multiwavelength achromatic metasurfaces by dispersive phase compensation,” Science 347(6228), 1342–1345 (2015). [CrossRef]  

69. M. Pu, X. Li, X. Ma, Y. Wang, Z. Zhao, C. Wang, C. Hu, P. Gao, C. Huang, H. Ren, X. Li, F. Qin, J. Yang, M. Gu, M. Hong, and X. Luo, “Catenary optics for achromatic generation of perfect optical angular momentum,” Sci. Adv. 1(9), e1500396 (2015). [CrossRef]  

70. X. Luo, M. Pu, Y. Guo, X. Li, F. Zhang, and X. Ma, “Catenary functions meet electromagnetic waves: opportunities and promises,” Adv. Opt. Mater. 8(23), 2001194 (2020). [CrossRef]  

71. F. Zhang, M. Pu, X. Li, X. Ma, Y. Guo, P. Gao, H. Yu, M. Gu, and X. Luo, “Extreme-angle silicon infrared optics enabled by streamlined surfaces,” Adv. Mater. 33(11), 2008157 (2021). [CrossRef]  

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1.
Fig. 1. Schematic of a metasurface fabricated using a UV-curable photoresist as the sole constituent material. The method involves photoresist injection, UV illumination, and delamination. This protocol eliminates any need for additional material deposition, lift-off, or etching. A macroscopic metasurface (flat metalens) can be reproduced from start to finish within a few tens of seconds, significantly faster than any existing metasurface fabrication method.
Fig. 2.
Fig. 2. Design and full-mode FDTD simulation under the same NA configuration (NA=0.45) as that of the experimentally fabricated metalens.
Fig. 3.
Fig. 3. Optical characteristics of UV-curable nano-imprinting material NTTAT-18247 resin: (a) Optical transmittance, (b) Measured refractive index values and fitted Cauchy dispersion curve.
Fig. 4.
Fig. 4. Schematic illustration of environment-friendly high-throughput fabrication workflow of photoresist-based metalenses (see the chemical formula of the main ingredient of NTTAT-18247 in the inset, marked by a red dashed arrow and a red dashed box).
Fig. 5.
Fig. 5. Optical setup to characterize the beam focusing and resolution imaging properties of photoresist-based metalens. A-I refers to Laser (A), Linear polarizer (B), Quarter wavelength plate (C), Iris diaphragm (D), Metalens (E), Objective (F), Tube lens (G), CCD camera (H), and Resolution test target (I), respectively.
Fig. 6.
Fig. 6. SEM images of transmissive photoresist metalens sections and the metalens optical characterization results on beam focusing and resolution test chart imaging: (a) SEM image of constituent unit nanostructures of the metalens, (b) close-up view of the unit nanostructures, (c) tilted view of the unit nanostructures with a tilt angle of 30$^\circ$, (d) beam focusing spot (white scale bar denotes a length of 1 $\mu m$), (e) image of positive USAF1951 resolution test chart (only elements 6 and 7 in group 7 are shown here, white scale bar denotes a length of 5 $\mu m$).
Fig. 7.
Fig. 7. Imprinted catenary structures using NTTAT-18247 resin: (a) Optical microscope image of the catenary structures in the overall view (white scale bar denotes a length of 100 $\mu m$), (b, c, d) SEM images of catenary structures in close-up views at increasing magnifications, respectively.

Tables (1)

Tables Icon

Table 1. Property comparison table of refractive index and processing features between commonly used photoresist materials and NTTAT-18247.

Equations (4)

Equations on this page are rendered with MathJax. Learn more.

J = t l + t s 2 ( 1 i ) + t l t s 2 e i 2 θ ( 1 i )
ϕ ( x , y ) = 2 π λ d ( f d x 2 + y 2 + f d 2 )
n ( λ ) = n 0 + n 1 λ 2 + n 2 λ 4
y = Λ π l n ( | s e c ( π x Λ ) | )
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.