Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

High reflectivity air-bridge subwavelength grating reflector and Fabry-Perot cavity in AlGaAs/GaAs

Open Access Open Access

Abstract

We present a novel air-bridge subwavelength grating reflector with very high reflectivity be used as a top mirror in a VCSEL structure. We explain the design method, model the structure using both RCWA and FDTD, and predict the characteristics of a Fabry-Perot structure built with this reflector. We describe the fabrication of the suspended grating.

© 2006 Optical Society of America

1. Introduction

High spatial frequency structures have been proposed for a variety of applications (see, for example, Ref. [1, 2, 3, 4]). Recently Mateus et al. have designed and fabricated a broad reflector based on a subwavelength grating in Silicon-on-Insulator (SOI) Ref. [5, 6]. Subwavelength grating reflectors are simple, versatile, high efficiency structures and their use in integrated or free-space optical technology is very promising.

Vertical Cavity Surface Emitting Lasers (VCSELs) have received tremendous attention because of their low-cost, arrayed operation, and are attractive for optical communications and biomedical applications Ref. [7, 8]. Several applications require the use of multiple wavelengths (either tunable or fixed array). In order to achieve an array of VCSELs with multiple wavelengths, the design must include a method of varying the effective cavity length. The most successful techniques involve micromechanically tunable mirrors Ref. [7, 9, 10, 11]. In some cases, it is desirable to have a fixed multi-wavelength array, which does not require tuning voltages to be applied to each laser. Ideally, the method would be simple enough that the wavelength could be controlled in the lithography without any re-growth requirements. Previous attempts at fabricating multi-wavelength arrays have included: using growth non-uniformity Ref. [12], using re-growth on patterned substrates Ref. [13, 14], and finally using wafer bonding and etched super-lattices Ref. [15, 16]. These techniques raise concerns for non-repeatability and difficulty in fabrication.

As an alternative technique that uses one conventional growth step, we are proposing a device structure where the wavelength is defined by a surface grating. In this work, an adjustable very high reflectance subwavelength grating (SWG) is to be used as the top reflector of an active device in AlGaAs/GaAs, instead of the conventional Distributed Bragg Reflector (DBR). In order to achieve the fabrication of such a structure we first model and fabricate a single high reflection SWG reflector (SWGR) in GaAs. We show in this case that the peak reflectance can be tuned by adjusting the lithographically defined parameters, namely the period and duty factor of the SWG. We then model the use of this SWGR as one of the mirrors of a DBR based Fabry-Perot cavity. Results show that the subwavelength reflector can be lithographically adjusted for ultra-high reflectivity over a wavelength range of more than 200 nm. Both the optical phase and peak reflectivity wavelength of the grating can be controlled by the grating period and duty cycle, which can be accurately designed and patterned using e-beam lithography, thereby controlling the effective cavity length. We show that the FP cavity peak transmission can be adjusted by the tuning of the SWG lithographic parameters. According to our simulations, using this technique, each laser can have a lithographically defined lasing wavelength spanning more 30 nm in the 850 nm operation window. We begin by explaining the phenomena producing high reflection in S WGRs, and then present the design of the reflector and of the S WG based cavity. Finally, we describe the fabrication procedure for the reflector and discuss ongoing work.

2. Resonant grating reflector

The high reflection values exhibited by subwavelength-scale reflectors can be attributed to the excitation of a wave-guide mode through phase matching by the grating. The subwavelength grating provides the coupling mechanism for the incident radiation to any number of waveguide modes supported by the structure. In the case where the light is normally incident on the SWG, symmetric and counter propagating waveguide modes are known to be excited. These modes then re-couple back (again through grating phase matching) to radiative modes and produce the observed high reflection. This is the accepted principle behind the high reflection resonant grating structures and is described, for example in Ref. [17]. The principle is illustrated here for two different grating coupler cases in Fig. 1, where we have assumed that the substrate has a lower index than the guiding layer.

 figure: Fig. 1.

Fig. 1. Resonant grating excitation leading to high reflection. a) grating is separate from guiding layer, b) grating is the guiding layer.

Download Full Size | PDF

When the grating is separate and can be considered a small index perturbation with respect to the effective index of the guided mode, it is possible to use the grating coupler phase matching condition to predict the coupling between the input radiation and the guided mode (see Chap. 5 in Ref. [18], Chap. 8 in Ref. [19]). In the case where the grating represents a significant index perturbation, as is shown in Fig. 1(b), it is not possible to derive a simple expression for the coupling. We therefore choose to solve the complete electromagnetic problem using both the rigorous coupled wave analysis (RCWA) Ref. [20, 21] and the finite-difference time-domain (FDTD) methods Ref. [22]. We used these tools to predict the reflection behavior of the grating and cavity structures.

3. Reflector Design

The structure we are investigating is shown in Fig. 2. It consists of a suspended GaAs SWG of period Λ, duty factor α and thickness tG and separated by an air gap layer of thickness tlow from a substrate which is assumed to be infinite in extent in the reflector design. The structure is assumed to be periodic in the x direction and infinite in the z direction. The incident polarization considered in this design is transverse magnetic (TM) with respect to the grating grooves and is shown in Fig. 2.

 figure: Fig. 2.

Fig. 2. SWG Reflector geometry.

Download Full Size | PDF

The design target is for a subwavelength reflector exhibiting a very high reflection peak ¿99 % reflectance, over a broad wavelength region, under normal incidence. We design the reflective SWG using rigorous coupled wave analysis (RCWA). We begin with a 200 nm thick subwavelength grating with a period and duty factor of 300 nm and 0.6 respectively. We used an air gap with a minimum thickness of 400 nm. We perform an iterative simulated annealing (SA) search to find the design parameters that yield the highest reflection peaks for the SWGR. The linear reflection profile of the structure is shown for various duty factors (α = 0.3 to α = 0.68) in Fig. 3.

 figure: Fig. 3.

Fig. 3. Nominal design performance (linear reflection value). Movie shows performance for various duty factors (Air-Reflectivity.mov - 232KB).

Download Full Size | PDF

The optimization results in a structure with very high reflectivity (0th order reflection) at a wavelength of 850 nm, for a grating layer thickness (tG) of 161 nm. To handle these high reflection values we use a logarithmic scale in which the reflection values is the logarithm of the transmitted power, a quantity we refer to as the reflection isolation. This quantity is defined as Rl = -10log(1-R) where R is the linear-scale reflectivity value. A reflectivity peak greater than 50 dB isolation (R ¿ 0.99999) is obtained for Λ = 420 nm and for α = 0.68. For this optimized design, we study the impact of the lithographic parameters (grating period and duty factor) on the reflection for operation at 850 nm. The result is shown in Fig. 4.

 figure: Fig. 4.

Fig. 4. Impact of lithographic parameters of SWGR performance. Scale shows reflection isolation in dB.

Download Full Size | PDF

Next we show that the peak wavelength of the reflector can be lithographically tuned by varying the period of the SWG. This is shown in Fig. 5, for a nominal value of the duty factor (α = 0.68).

We see from Fig. 5 that varying the SWG period from 350 nm to 500 nm shifts the reflection peak by more than 200 nm. Finally, we also study the sensitivity of the structure reflectivity to various design parameters. Most notably, if the theory of waveguide modes resonant excitation is valid, the reflection should be relatively independent of low index medium depth, past a certain value which guarantees low substrate leakage of the excited waveguide mode. This is verified in Fig. 6, which shows that a thickness greater than 200 nm guarantees a reflection isolation of more than 30 dB.

We also study the impact of duty factor and wavelength variations on the performance of the reflector. The results of this modeling are shown in Fig. 7, for a structure optimized for operation at 862 nm. The results indicate that a 5% variation in duty factor yields approximately a 10 dB reflection isolation decrease. The curves also show that as much as 20 dB reflection isolation can be lost by working 10 nm away from the design wavelength. In our target application, duty factor must thus be controlled to better than 5% if we wish to achieve a good laser mirror. In our application the wavelength will be controlled by the resonant cavity and thus a design mismatch will shift the cavity wavelength, as explained in Section 4. In other applications however, a wavelength mismatch may result in a significant reflection loss.

According to the RCWA simulations, it is possible to obtain a lithographically determined high efficiency reflector with reflection isolation greater than 30 dB, over a wavelength range of more than 200 nm. We have confirmed the RCWA results with FDTD for the nominal design, optimized for a single wavelength. The result is shown in Fig. 8. We observe reasonable agreement between RCWA and 2D/3D FDTD models. Furthermore, we did no observe significant variations between 2D and 3D FDTD and thus the 2D version was used in subsequent modeling to shorten the computational times.

 figure: Fig. 5.

Fig. 5. Lithographic tuning of the SWGR reflectivity peak.

Download Full Size | PDF

 figure: Fig. 6.

Fig. 6. Impact of low index layer thickness on reflectivity.

Download Full Size | PDF

We also consider the effect of a finite SWG width for the performance of the reflector. The objective for this model was to determine the number of grating periods required to produce the desired reflection value. The finite grating has been modeled in FDTD and is shown in Fig. 9.

We explore the peak behavior for different finite grating reflectors. The FDTD results are shown in Fig. 9(b) and demonstrate that at least 40 periods of the SWG are required to guarantee a 20 dB reflection isolation at the resonance wavelength. Such a device would span roughly 15 μm. Modeling also shows that the finite length of the grating along the z direction does not significantly affect the performance of the structure, for lengths above 20 μm. One should note that these results assumed planar wavefront excitation for the SWGR. Finite beam analysis is currently being pursued.

4. Fabry-Perot Cavity Design

In this section we present the design and modeling of the performance of a FP cavity built using the SWGR. The structure considered is shown in Fig. 10.

 figure: Fig. 7.

Fig. 7. Impact of duty factor variations on reflection.

Download Full Size | PDF

 figure: Fig. 8.

Fig. 8. Comparison of RCWA with 2D and 3D FDTD models.

Download Full Size | PDF

 figure: Fig. 9.

Fig. 9. Reflection isolation for infinite and various finite structures (2D FDTD) in a) Reflectance, b) Reflection Isolation.

Download Full Size | PDF

 figure: Fig. 10.

Fig. 10. SWGR - DBR structure geometry.

Download Full Size | PDF

Using RCWA optimization, the thickness of the Fabry-Perot cavity, tFP has been optimized. We find that a value of 161 nm yields a FP reflection notch at the target wavelength of 850 nm. Using this value for the nominal FP cavity design, we modeled a 30 DBR pair SWGR cavity. The reflection from such a cavity is shown in Fig. 11, for two different values of the SWGR duty factor α. In Fig. 11 the dark regions correspond to the FP transmission peak.

 figure: Fig. 11.

Fig. 11. SWGR-based FP cavity performance for a) α = 0.68 and b) α = 0.85.

Download Full Size | PDF

The cavity modeling in Fig. 11(a) shows the reflection profile for a nominal duty factor of α= 0.68. The variation of the black region is due to phase shift of the SWG and DBR cavity, while the white region is due to the SWGR peak reflectivity shift. Highest Q is achieved at their intersection point, at a location that can be displaced by tuning the lithographic parameters of the design. In the nominal design case, as the period is tuned from 360 nm to 480 nm, the cavity transmission peak shifts by more than 20 nm. The shift is however accompanied by a reduction of the Q value associated with the FP resonance, as is observed in Fig. 12(a). In order to shift the peak transmission wavelength of the cavity while maintaining a high Q value, one needs to adjust the duty factor as well as the period. The reflection performance of a cavity with a tuned value of α is shown in Fig. 11(b) and the Q of the tuned cavity is shown in Fig. 12(b). Tuning both the period and the duty factor of the SWGR allows for the lithographic adjustment of the resonance wavelength over a range of more than 30nm.

One should note that the very high Q (105) values shown in 12 represent a lower bound to the actual Q values achievable in that region of the design. Modeling becomes less accurate in that region of the curve due to the very high grid density required to accurately quantify the Q value for the resonance, but further higher wavelength resolution RCWA scans have shown Q values in excess of 105 can be achieved for these design parameters. The results from above reveal the possibility of building monolithic, single etch defined cavities spanning more than 30 nm with Q values above 10 000. We have presented two solutions for the adjustable high Q cavity, however these solutions do not represent the limit to the structure’s tunability. Further analysis is being conducted to study the limits of tunability for this cavity.

 figure: Fig. 12.

Fig. 12. Cavity Q for different SWGR periods at 850nm, for a) α = 0.68 and b) α = 0.85.

Download Full Size | PDF

5. Reflector Fabrication

The SWGR was fabricated using electron beam (E-beam) lithography, dry etching using an electron cyclotron resonance etcher and wet etching. The use of E-beam lithography enables us to define the period with very high (nm) accuracy. According to our simulations, we need precise control of the period and duty factor in order to target the desired operating wavelength. Control over the duty factor of the grating must be achieved through careful tuning of the E-beam line dosage, exposure, etching RF power, time and temperature. Through careful control of these parameters and many trial runs, we were able to achieve a 3% accuracy on the duty factor, corresponding to a 12nm width accuracy on our nominal structure.

The wafer used in the fabrication consists of a 160nm thick GaAs top layer and an Al0.8Ga0.2As sacrificial layer on a GaAs substrate. The first step involved patterning and dry etching a relief hole through which the wet etchant, 7:1 buffered oxide etch could access the sacrificial AlGaAs layer and undercut the GaAs. The resulting structure is a GaAs membrane. Polymethyl metha-crylate (PMMA) was then spun and a second round of E-beam lithography was performed to pattern the gratings on the undercut area. Finally, the gratings were dry etched using BCl3 at a -100V RF bias to define the freestanding gratings. Fig. 13 shows an SEM image of the air suspended gratings.

The fabrication was successful and the achieved period and duty factors were approximately 384 nm and 0.65, respectively. The period is within one nm of the target design period (optimized for 840 nm) and the duty factor shows a 3% error with respect to our design target. Preliminary measurement data indicates an agreement of the reflectivity peak with theoretical results. Our team has also begun fabricating a full SWGR based cavity with a 30 pair DBR structure in AlGaAs/GaAs.

 figure: Fig. 13.

Fig. 13. SEM of fabrication suspended SWG in AlGaAs.

Download Full Size | PDF

6. Conclusion

We have presented a novel air-bridge subwavelength grating reflector with a very high (¿ 99.99%) reflectivity to be used as a top mirror of a VCSEL structure. We propose this structure for monolithically integrating multi-wavelength VCSEL structures. Using this technique, we believe that multi-wavelength source arrays can be integrated into packages by using a single die of the active material (epitaxially grown) where the wavelength variation across the array would be entirely defined lithographically. In this design the mirror peak reflectivity is tunable over 200 nm by adjusting the duty cycle and grating period. Our RCWA and FDTD modeling confirms that using this technique a lithographically defined array of VCSELs spanning more that 30 nm should be realizable. We have successfully fabricated the air suspended grating structure in AlGaAs/GaAs. Reflectivity measurements and active device fabrication for this structure are currently underway.

Acknowledgments

This work was supported by NSERC and by the Canadian Institute for Photonic Innovation (CIPI). The authors would also like to acknowledge the use of the Western Canada Research Grid (WestGrid) computational facilities as well as the Lumerical FDTD modeling software.

References and links

1. H. Kikuta, Y. Ohira, and K. Iwata, “Achromatic quarter-wave plates using the dispersion of form birefringence,” Appl. Opt. 36, 1566 (1997). [CrossRef]   [PubMed]  

2. R. C. Tyan, A. A. Salvekar, H. P. Chou, C. C. Cheng, A. Scherer, P. C. Sun, F. Xu, and Y. Fainman, “Design, fabrication, and characterization of form-birefringent multilayer polarizing beam splitter,” J. Opt. Soc. Am. A 14, 1627 (1997). [CrossRef]  

3. W. Nakagawa and Y. Fainman, “Tunable optical nanocavity based on modulation of near-field coupling between subwavelength periodic nanostructures,” IEEE J. Sel. Top. Quantum Electron. , 10, 478 (2004). [CrossRef]  

4. A. S. P. Chang, H. Cao, and S. Y. Chou, “Optically tuned subwavelength resonant grating filter with bacteri-orhodopsin overlayer,” in Lasers and Electro-Optics Society Annual Meeting (LEOS03, 2003), p. 411.

5. C. F. R. Mateus, M. C. Y. Huang, L. Chen, C. J. Chang-Hasnain, and Y. Suzuki, “Broad-band mirror (1.12–1.62 μm) using a subwavelength grating,” IEEE Photonics Technol. Lett. , 16, 1676 (2004). [CrossRef]  

6. C. F. R. Mateus, M. C. Y. Huang, D. Yunfei, A. R. Neureuther, and C. J. Chang-Hasnain, “Ultrabroadband mirror using low-index cladded subwavelength grating,” IEEE Photonics Technol. Lett. , 16, 518 (2004). [CrossRef]  

7. C. J. Chang-Hasnain, “Tunable VCSEL,” IEEE J. Sel. Top. Quantum Electron. , 6, 978 (2000). [CrossRef]  

8. M. Lackner, G. Totschnig, F. Winter, M. Ortsiefer, M. C. Amann, R. Shau, and J. Rosskopf, “Demonstration of methane spectroscopy using a vertical-cavity surface-emitting laser at 1.68 μm with up to 5 MHz repetition rate,” Meas. Sci. Technol. , 14, 101, (2003). [CrossRef]  

9. M. Maute, G. Bohm, M.-C. Amann, B. Kgel, H. Halbritter, and P. Meissner , “Long-wavelength tunable vertical-cavity surface-emitting lasers and the influence of coupled cavities,” Opt. Express 13, 8008–8014 (2005). [CrossRef]   [PubMed]  

10. W. Peidong, P. Tayebati, D. Vakhshoori, L. Chih-Cheng, M. Azimi, and R. N. Sacks, “Half-symmetric cavity microelectromechanically tunable vertical cavity surface emitting lasers with single spatial mode operating near 950 nm,” Appl. Phys. Lett. 75, 897 (1999). [CrossRef]  

11. G. Piazza, K. Castelino, A. P. Pisano, and C. J. Chang-Hasnain, “Design of a monolithic piezoelectrically actuated microelectromechanical tunable vertical-cavity surface-emitting laser,” Opt. Lett. , 30, 896 (2005). [CrossRef]   [PubMed]  

12. Y. Wupen, G. S. Li, and C. J. Chang-Hasnain, “Multiple-wavelength vertical-cavity surface-emitting laser arrays with a record wavelength span,” IEEE Photonics Technol. Lett. , 8, 4 (1996). [CrossRef]  

13. M. Arai, T. Kondo, A. Onomura, A. Matsutani, T. Miyamoto, and F. Koyama, “Multiple-wavelength GaInAs-GaAs vertical cavity surface emitting laser array with extended wavelength span,” IEEE J. Sel. Top. Quantum Electron. , 9, 1367 (2003). [CrossRef]  

14. Y. Kai, Z. Yuxin, X. D. Huang, C. P. Hains, and C. Julian, “Monolithic oxide-confined multiple-wavelength vertical-cavity surface-emitting laser arrays with a 57-nm wavelength grading range using an oxidized upper Bragg mirror,” IEEE Photonics Technol. Lett. , 12, 377 (2000). [CrossRef]  

15. J. Geske, Y. L. Okuno, J. E. Bowers, and V. Jayaraman, “Vertical and lateral heterogeneous integration,” Appl. Phys. Lett. 79, 1760 (2001). [CrossRef]  

16. A. Karim, P. Abraham, D. Lofgreen, Y. J. Chiu, J. Piprek, and J. Bowers, “Wafer-bonded 1.55 μm vertical cavity laser arrays for wavelength division multiplexing,” Electron. Lett. 37, 431 (2001). [CrossRef]  

17. D. W. Peters, S. A. Kemme, and G. R. Hadley, “Effect of finite grating, waveguide width, and end-facet geometry on resonant subwavelength grating reflectivity,” J. Opt. Soc. Am. A , 21, 981 (2004). [CrossRef]  

18. R. Petit and L. C. Botten, “Electromagnetic theory of gratings” (Springer-Verlag, Berlin; New York, 1980).

19. M. Neviere and E. Popov, “Light Propagation in Periodic Media, Differential Theory and Design” (Marcel Dekker Inc., New York, 2004).

20. M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of metallic surface-relief gratings,” J. Opt. Soc. Am. A 3, 1780 (1986). [CrossRef]  

21. Lifeng Li, “New formulation of the Fourier modal method for crossed surface-relief gratings,” J. Opt. Soc. Am. A 14, 2758 (1997). [CrossRef]  

22. A. Taflove and S. C. Hagness, “Computational electrodynamics : the finite-difference time-domain method. Boston” (Artech House, 2000).

Supplementary Material (1)

Media 1: MOV (234 KB)     

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (13)

Fig. 1.
Fig. 1. Resonant grating excitation leading to high reflection. a) grating is separate from guiding layer, b) grating is the guiding layer.
Fig. 2.
Fig. 2. SWG Reflector geometry.
Fig. 3.
Fig. 3. Nominal design performance (linear reflection value). Movie shows performance for various duty factors (Air-Reflectivity.mov - 232KB).
Fig. 4.
Fig. 4. Impact of lithographic parameters of SWGR performance. Scale shows reflection isolation in dB.
Fig. 5.
Fig. 5. Lithographic tuning of the SWGR reflectivity peak.
Fig. 6.
Fig. 6. Impact of low index layer thickness on reflectivity.
Fig. 7.
Fig. 7. Impact of duty factor variations on reflection.
Fig. 8.
Fig. 8. Comparison of RCWA with 2D and 3D FDTD models.
Fig. 9.
Fig. 9. Reflection isolation for infinite and various finite structures (2D FDTD) in a) Reflectance, b) Reflection Isolation.
Fig. 10.
Fig. 10. SWGR - DBR structure geometry.
Fig. 11.
Fig. 11. SWGR-based FP cavity performance for a) α = 0.68 and b) α = 0.85.
Fig. 12.
Fig. 12. Cavity Q for different SWGR periods at 850nm, for a) α = 0.68 and b) α = 0.85.
Fig. 13.
Fig. 13. SEM of fabrication suspended SWG in AlGaAs.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.