Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering

Open Access Open Access

Abstract

We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

© 2017 Optical Society of America

1. Introduction

Magnetron sputtered EUV multilayer thin-film systems serve as well-established optical elements for near-normal incidence mirrors in the EUV spectral range [1–4]. Since the reflectance of single metallic surfaces is negligible for these wavelengths, those systems, instead, provide a periodic arrangement of interfaces between typically two materials with a significantly different index of refraction. Thereby, an artificial one-dimensional Bragg crystal is formed, leading to constructive interference for a designated angle of incidence and wavelength range [5]. A system of great relevance nowadays is the multilayer of alternating Mo/Si layers used to design near-normal incidence mirrors for the EUV wavelength at 13.5 nm. The ability to design high-reflectance mirrors for this wavelength has a large impact on the future of the semiconductor industry, where integrated circuits are fabricated using optical lithography on the nano scale. With regularly shrinking feature sizes on silicon wafers, a shorter wavelength than the established 193 nm DUV lithography is required to reach the designated level of miniaturization. The next step is EUV lithography at 13.5 nm wavelength with radiation produced using laser plasma sources. Because of the limited radiant power of the sources, the margins for radiation loss inside optical elements are minimal. Mo/Si multilayers with additional diffusion barriers at selected interfaces have demonstrated a yield of reflectances above 70% close to the theoretical threshold at near-normal incidence [6–9]. The period thickness required for high near-normal incidence reflectance at 13.5 nm wavelength is at approx. D = 7 nm, in order to achieve constructive interference with N = 50 multilayer periods. The main reasons for radiation loss beyond unavoidable absorption inside the materials of the multilayer are imperfections at the interfaces, such as interdiffusion, compound formation and roughness. In all cases the perfect multilayer system is distorted, since the interfaces are not chemically abrupt anymore or now include additional compound layers with different index of refraction. Thus, interdiffusion and compound formation lead to a diminished optical contrast and consequently to lower reflectance at the respective interface [10]. Interdiffusion is a known problem for multilayer optics, and measures to counteract this effect are the introduction of barrier layers hindering the formation of interdiffusion layers [9, 11]. In the case of roughness, the result of reduced optical contrast at the interfaces is the same on average due to the finite beam size, however, in this case with the addition of diffuse scattering outside the specular beam direction [12], which is not present in the case of pure interdiffusion. It has indeed been shown that for identical multilayer systems on differently rough surfaces, the sum of specular reflectance and integrated diffuse scattering is constant [13].

Analyzing the diffuse scattering pattern provides valuable information on the interface morphology in terms of the power spectral density (PSD) of roughness and thus the cause of roughness-induced reflectance loss. We investigate several samples of Mo/Si multilayer systems with C interdiffusion barriers at the Mo on Si interfaces. It has been shown that optimal reflectance can be achieved with approximately 40% Mo layer thickness with respect to (w.r.t.) the corresponding bilayer total period thickness [11, 14]. The samples under investigation here were fabricated with increasing Mo thickness while keeping the nominal period thickness D ≈ 7 nm constant. It has been observed that with increasing Mo layer thickness, crystallites start forming at a certain threshold during the sample preparation inside the Mo layer [14, 15]. This has an impact on the interface morphology and potentially increases the roughness and thus the loss of specularly reflected radiation. In this study, we investigate two sets of samples with increasing Mo layer thickness as described above. In the first set, the magnetron sputtered layers were deposited one after another for each sample. In the second set, during deposition, an additional polishing process was used during the deposition of each period. To investigate the interface morphology and to observe the effect of the amorphous-to-crystalline transition in each set, we measure and analyze the diffuse scattering pattern. The theoretical diffuse scattering maps expected from a certain multilayer model are calculated based on the distorted-wave Born approximation (DWBA) to deduct the PSD by reconstruction [16–18]. To obtain the actual layer thicknesses in the samples, we applied EUV reflectivity and X-ray reflectivity (XRR) experiments and reconstructed these parameters by modeling and by combined analysis of the measured data. It has been shown, that the combined approach increases the available information to reconstruct the model with better accuracy [19]. The analysis was carried out by using a Markov-chain Monte Carlo method (MCMC) [20] to deduct the respective confidence intervals. Based on these models, the diffuse scattering was calculated and the parameters of the PSD and the vertical roughness correlation were reconstructed again using the MCMC method.

2. Experimental setup and sample preparation

The samples used in our study are prototypes for multilayer coatings designed as near-normal incidence mirrors for the wavelength of 13.5 nm relevant in applications in the semiconductor industry [21]. The silicon wafer substrate is coated with alternating layers of Mo and Si repeated N = 50 times. At each Mo on Si interface, buffer layers of nominally 0.5 nm C are included to prevent interdiffusion [11] (cf. Fig. 1). The deposition of the coating was done by magnetron sputtering at the Fraunhofer IWS in Dresden, Germany. The samples in two sets differ only by an additional polishing treatment in each multilayer period for the second set. For both sets the individual Mo layer thicknesses were varied nominally from 1.70 nm for the first sample to 2.90 nm for the last sample of the unpolished set and 3.05 nm for the last sample of the polished set, respectively, while the first unpolished set consists of nine samples and the second polished set of ten samples. It is well known in literature that whereas the Mo layers are amorphous for small thicknesses, polycrystallites form at larger thicknesses [14, 15]. The samples were precharacterized with a lab-based grazing incidence diffractometer using Cu Kα radiation at the Fraunhofer IWS in Dresden.

 figure: Fig. 1

Fig. 1 Model of the multilayer stack including the substrate and the capping layers. The periodic part is enclosed between the dashed lines with four layers in each period repeated 49 times. The capping period does not include a compound layer but has a natural SiO2 layer and a carbon-like layer accounting for contamination on the top surface.

Download Full Size | PDF

All samples were investigated using synchrotron radiation at the EUVR beamline of the Physikalisch-Technische Bundesanstalt (PTB) at the Metrology Light Source (MLS) electron storage ring in Berlin [22]. The EUVR beamline offers tunable wavelengths in the range from 5 nm to 50 nm. The end station is equipped with a three-axis goniometer and a movable detector arm suitable for reflectivity as well as off-axis in-plane scattering measurements [23]. A 4.5 × 4.5 mm2 photodiode at a distance of 250 mm from the sample serves as a detector for the reflected and scattered radiation. The EUV reflectance curves were recorded at the fixed angle of incidence (AOI) of αi = 15.0° from the sample surface normal (see Fig. 2) in the spectral range from λ = 12.36 nm to λ = 14.0 nm in steps of Δλ = 0.01 nm.

 figure: Fig. 2

Fig. 2 Schematic measurement geometry for the EUV reflectance and diffuse scattering measurements. The detector is kept at a fixed position ΔΘ for all experiments. In the case of the specular reflectance measurement, the detector is positioned in such that αi = αf for a sample rocking angle of ω = 0. For the diffuse scattering experiment, the sample rocking angle ω is varied such that the diffusely scattered radiation hits the detector (see text).

Download Full Size | PDF

3. Theoretical background

The EUV and X-ray reflectivities were calculated based on the well-established matrix algorithm [24, 25]. The roughness and interdiffusion are taken into account by using modified Fresnel coefficients including a Névot-Croce factor using σ to describe the disturbances at the interfaces [26]. We assume the interdiffusion and roughness profile to be of error-function-like shape, which leads to the modified Fresnel coefficients

r(j)=rid(j)exp(2kz(j)kz(j+1)σ2),t(j)=tid(j)exp((kz(j)kz(j+1) 2σ2/2),
where rid(j) and tid(j) are the unmodified Fresnel coefficients for an ideal multilayer system at each interface j at the bottom of layer j, defined as
rid(j)=kz(j)kz(j+1)kz(j)+kz(j+1),tid(j)=2kz(j)kz(j)+kz(j+1).
The z-component of the complex wave vector in each layer j is denoted as kz(j). It is calculated according to the incoming wave vector in vacuum kvac = 2π/λ using Snell’s law
kz(j)=(n(j)kvac)2kx2
where kx = sin(αi)kvac with the angle of incidence αi defined from the surface normal (cf. Fig. 2) and n is the complex index of refraction of layer j. The transmitted and reflected fields can then be calculated based on the following matrix equation with the boundary conditions of a known incoming electric field E0 and no reflected field inside the infinite substrate
( ERE0)=jMj( 0ET).
The propagation matrix is defined as [25]
Mj=1t(j)(1r(j)r(j)1)(eikz(j+1)dj11eikz(j+1)dj),
including the modified Fresnel coefficients r(j) and t(j) defined in Eq. (1) and the thickness dj of layer j. The total reflectance R and transmittance T can then be calculated as the quotient of the (known) incoming field E0 with the reflected ER and transmitted field ET, respectively, as
R=|ER/E0|2,T=|ET/E0|2.

3.1. Distorted-wave Born approximation for at-wavelength diffuse scattering

The diffuse scattering measurements were analyzed based on the distorted-wave Born approximation. The detailed derivation of the application to near-normal incidence diffuse scattering measurements can be found elsewhere [18]. Here, we give a summarized version. In general, the DWBA uses an analytically solvable system, e.g. the perfect multilayer above, and introduces a small disturbance. In our case the latter is the roughness at the interfaces. The ideal system was calculated by setting the Névot-Croce parameter σ ≡ 0 in Eq. (1) above. This corresponds to using the ideal Fresnel coefficients from Eq. (2) in the matrix algorithm. The periodic application of the field propagation matrix Eq. (5) yields the reflected and transmitted fields at each interface j, which can be decomposed into a phase part as well as a field amplitude,

Et(j)(z)=Tjeikz(j)z,
Er(j)(z)=Rjeikz(j)z,
with z being the position perpendicular to the multilayer stack with respect to the substrate interface. The reflected field amplitudes Rj and the transmitted field amplitudes Tj enter the calculation of the diffuse scattering in the solid angle dΩ as
(dσdΩ)=[π2λ4cosαij=1Ni=1N(nj2nj+12)*(ni2ni+12)((Tj(1)+Rj(1))*(Tj(2)+Rj(2))*×(Ti(1)+Ri(1))(Ti(2)+Ri(2)))exp(iqtanβ(zizj))cij]C(q),
where nj is the complex index of refraction of layer j at the wavelength λ, αi is the angle of incidence with respect to the surface normal, q is the wave vector transfer parallel to the surface. The upper indices (1) and (2) at the transmitted and reflected field amplitudes indicate the corresponding field amplitudes for (1) the angle of incidence αi and (2) the time-inverted solution for the scattering angle αf. The latter enters through the value of the kxvac in Eq. (3) and thereby in Eq. (7) and (8). The angle β accounts for non-orthogonal roughness correlation with respect to the surface if it is non-vanishing, i.e. β ≠ 0. The vertical correlation factor of the two interfaces j and i is given by cij, while the in-planar roughness power spectral density is denoted as C(q). In similar studies, a high degree of vertical correlation was observed through the clear formation of a Bragg sheet [16, 18] for this sample type. We therefore assume a vertical correlation of the roughness properties at each interface of the stack. In that case, the in-planar roughness and scattering contributions from the periodic nature of the multilayer as denoted in Eq. (9) can be separated mathematically. In addition, treating each interface individually would furthermore lead to an ill-defined model which could not be compared or solved with the data available through ensemble scattering measurements.

The vertical correlation of roughness is described with the replication factor cij(q) [27] defined as

cij(q)=exp(n=min(i,j)max(i,j)1dn/ξ(q)),
where dn is the thickness of the nth layer and ξ is the vertical correlation length given by ξ(q)=ξ/q2 ith a vertical correlation factor ξ. This characteristic length describes the length at which the correlation factor has decreased to 1/e along the surface normal throughout the stack. The in-planar roughness at the interfaces is modeled using an analytically closed form of the power spectral density C(q) [28,29],
C(q)=4πHσr2ξ2(1+|q|2ξ2)1+H,
where σr is the root mean square roughness, H is the Hurst factor measuring the jaggedness of the interface roughness and ξ is the in-plane correlation length.

3.2. Solving the inverse problem

The reconstruction of the model for the multilayer itself as well as of the power spectral density for the interfacial roughness is an optimization problem. Based on the measured reflectivity data and the diffuse scattering, respectively, in each case, an optimization functional defines the goodness of the model. The optimization functional can then be described for each of the methods (EUV, XRR, diffuse scattering) individually by the reduced χ˜2

χ˜=1mp[m(ImmodelImmeans)2σ˜m2],
where m is the number of measurement points, p is the number of parameters used in the model, Immodel is the calculated intensity for the corresponding measurement point with index m having the measured intensity Immeasured. The calculated intensity Immodel follows directly from R in Eq. (6) for the EUV and XRR measurements and /dΩ in Eq. (9) for the diffuse scattering, respectively, in each case, for the angle of incidence and wavelength associated with measurement point m. The experimental error for each measurement point is described by σ˜m. The combined functional for the analysis of the EUV and X-ray reflectance experiments to be optimized with respect to the measured data is defined as
χ2=χ˜EUV2+χ˜XRR2,
and for the diffuse scattering as
χ2=χ˜diff2.
The solution to the inverse problem of reconstructing the optimal model parameters is conducted by minimizing the χ2 functional. To minimize the functional with respect to the best choice of parameters, we apply a Markov-chain Monte Carlo method [20, 30, 31]. As a starting point, a random set of parameters is generated with respect to predefined boundaries. The limits are chosen in reference to prior knowledge and physical plausibility. The advantage of this procedure with respect to other optimization methods such as Levenberg-Marquart [32, 33] or particle swarm optimization [34] is that the distribution of the Monte Carlo walkers after convergence reflects the set of parameters with maximum likelihood, correlations in between the parameters as well as confidence intervals for each value within the underlying model. The latter ones are estimated from the MCMC as one standard deviation of the sample distribution in each parameter.

4. Multilayer reconstruction based on specular reflectance measurements

4.1. Model of the multilayer stack

The thicknesses of the Mo layers inside the stack were varied nominally from 1.7 nm to 2.90 nm and 3.05 nm for the unpolished and polished set, respectively, from sample to sample. The stacking of the different layers in the multilayer consists of the Mo and Si layers, as well as an additional buffer layer at the Mo on Si interface to prevent interdiffusion, except for the substrate interface and in the capping period. For the Si on Mo interfaces, no buffer layers were included since interdiffusion is usually less in this case [35]. However, for the theoretical description of the sample stack we consider an additional MoSi2 layer, which is well known to form during the deposition process [14]. The full model used in the reconstruction is illustrated in Fig. 1 with the thickness parameters for each layer. To correct for any contamination on the top sample surface, an additional carbon-like layer on top was considered. In addition to the thicknesses of each layer we also allowed for a variation of the layer density between 80% and 100% of the bulk density. The evaluation of the reflectivity with respect to the model was performed with the matrix algorithm in Eq. (6) described above. The model parameters and their boundaries are listed in Table 1.

Tables Icon

Table 1. Multilayer parametrization and parameter limits

4.2. Specular measurement results and optimization

The two sample sets have been measured with respect to their reflectivity at a fixed angle of incidence αi = 15° from the surface normal by varying the wavelength in the spectral range from λ = 12.4 nm to λ = 14.0 nm. The results are shown in Fig. 3 in comparison to each other, with their nominal Mo layer thickness. In both sample series, we observe distinct jumps in the position of the reflectivity curve with respect to the wavelength. There is a notable difference in those jumps for the two sample sets. For the unpolished samples, the peak shift is observed around dMonom=2.45nm, whereas it appears at much lower nom. Mo thickness values for the polished sample set at around dMonom=2.00nm. For all of the samples additional XRR measurements exist (not shown here), which were also analyzed using the matrix method and were combined with the EUV data using the MCMC method as described in Sec. 3.2. For the two sample systems studied here, we focus on the determination of the molybdenum and silicon thicknesses and the Névot-Croce parameter σ. For that purpose, EUV and XRR data yield the most accurate results as demonstrated in [31]. An unambiguous result was consequently found for those three parameters of the model, whereas all other parameters show equal likelihood within the predefined boundaries. Therefore, the best model was obtained in a two-step process. First an MCMC optimization was performed including all parameters. Proceeding from this, the value of the Mo thickness with its confidence interval was obtained by marginalizing over all other parameters. In a second step, another MCMC optimization was performed on a reduced parameter set, fixing the layer thicknesses of the C barrier layer and the MoSi2 compound layer to their nominal values of dC=dMoSi2=0.5nm. Thereby, comparable models could be derived for all samples without constraining the applicability of the model with respect to the data available. This comes with no consequences for the goal of analyzing the at-wavelength diffuse scattering, since the relative thickness of the barrier layer and the intermixing layer has only negligible effect on the fields inside the stack if the model fits the EUV reflectivity curve in the same spectral range.

 figure: Fig. 3

Fig. 3 a) Reflectivity curves for the unpolished samples across the wavelength at a fixed angle of incidence of αi = 15° from the surface normal. The nine samples differ by the nominal Mo layer thickness indicated at the bottom axis. b) Reflectivity curves of the ten polished samples measured under the same conditions as for the first sample set.

Download Full Size | PDF

The results of the two-step analysis are shown in Fig. 4. The confidence intervals shown in Fig. 4(a) are one standard deviation of the likelihood determined for the Mo layer thickness by the first-step MCMC procedure. The results show the desired linear increase in molybdenum layer thickness, however at a systematically higher thickness than the nominal values. A possible cause for that observation, consistent with the model reconstruction results, is the possible interdiffusion of the molybdenum layer with the silicon and carbon during deposition. The reconstruction results for all samples show systematically reduced density values of ρMo ≈ 90% w.r.t. the Mo bulk density. Thus, the nominal amount of deposited molybdenum leads to higher thicknesses than desired. Additionally, the total period thicknesses D shown for the corresponding fitted Mo thickness in Fig. 4(b) show clear jumps.

 figure: Fig. 4

Fig. 4 a) Fitted Mo thickness values for both sample sets resulting from the MCMC analysis (see text). The nominal Mo layer thickness is shown in comparison in good agreement with the obtained thicknesses. b) Fitted total period thickness D for both sample sets. For both sample sets, clear jumps can be observed at approx. dMo ≈ 2.0 nm and dMo ≈ 2.4 nm, respectively. The marked (circle) samples were measured and analyzed with respect to the diffuse scattering.

Download Full Size | PDF

To better understand this observation, Fig. 5 shows the maximum peak reflectance of all EUV measurements as a function of the reconstructed Mo layer thickness. The identical blue solid line in both subfigures indicates the maximum peak reflectance attainable for a perfect multilayer system with the respective Mo layer thickness without any interdiffusion or roughness. For the calculation a carbon capping layer of dC(cap) = 2.0 nm and a relative density of ρC(cap) = 0.5 and a silicon dioxide layer of dSiO  2=2.0 was considered. The dashed curves in both figures show the expected maximum peak reflectance values for the two sample systems calculated by adding the respective roughness/interdiffusion to the model. In both cases, a significant dip with respect to the expected value can be observed starting at thicknesses of dMo = 2.31(−0.22/+0.21) nm for the unpolished samples in Fig. 5(a) and at dMo = 1.77(−0.22/+0.19) nm for the polished samples in Fig. 5(b). We attribute this significantly diminished peak reflectance to the process of crystallization as the most likely cause. It should be noted that the smaller reflectance of the polished samples compared to the unpolished ones for the Mo thicknesses below 2 nm is most likely due to the crystallization process, which occurs at smaller thicknesses in this case. For all other data points, the reflectance is significantly higher for the polished samples as for the unpolished ones.

 figure: Fig. 5

Fig. 5 Peak reflectance values for each unpolished (a) and polished (b) sample obtained from the measurements shown in Fig. 3 in comparison to the maximum theoretical reflectance for a respective optimal multilayer stack without roughness and interdiffusion (blue solid line in (a) and (b)). The dashed lines indicate the expected reflectance for a realistic multilayer system including interdiffusion and roughness for both sample sets. A significant deviation (reflectance dip) can be observed in both sets for different molybdenum layer thicknesses and two data points in each set (see main text).

Download Full Size | PDF

5. Analysis of the diffuse scattering

Based on the presumed crystallization threshold found from the analysis of the specular reflectance curves, diffuse scattering measurements for selected samples from both sets were performed. In both cases, scattering maps were taken from the samples with lowest and highest Mo layer thickness, respectively, in addition to the samples with Mo thicknesses right before, at and right after the presumed crystallization threshold was investigated (cf. marked points in Fig. 4). The diffuse scattering was measured by keeping the detector angle with respect to the incoming beam fixed at ΔΘ = 30°, while the sample was rocked from an AOI of αi = 15° to αi = 38° with a step size Δαi = 0.5°. At each angular position, a wavelength scan from λ = 12.35 nm to λ = 14.0 nm in steps of Δλ = 0.01 nm was performed to map the diffuse scattering distribution. The results are shown in a reciprocal space representation for both sets in comparison in Fig. 6. The maps in Fig. 6(a) show the scattering distribution from the unpolished samples marked with the fitted Mo layer thickness (cf. Fig. 4). The polished samples are shown in Fig. 6(b). One sample in each series shows significantly stronger scattering than the others. Both sets show distinctly different scattering distributions. In both cases, the structure visible in the map is dominated by the multilayer-enhancement factor including resonant dynamic enhancement (“Kiessig-like peaks”) [18]. In the case of the polished samples, significantly less scattering can be observed for higher spatial frequencies, whereas more intensity is measured for smaller frequencies. For the unpolished samples a downward tilted Bragg sheet can be observed. This is due to a non-orthogonal roughness correlation throughout the stack with respect to the surface [36]. To further confirm this, we have conducted a second mesurement of the unpolished sample with fitted Mo thickness of dMo = 2.43 nm rotating the sample by 90° around the surface normal. The comparison in Fig. 7 shows the non-perpendicular orientation of the roughness replication is a real property of the sample.

 figure: Fig. 6

Fig. 6 Measured diffuse scattering distributions in reciprocal space representation shown on linear false-color scale. The selected unpolished samples are shown in a) with increasing Mo layer thickness dMo. The selected samples for the polished set are shown in b) also in order of increasing Mo thickness dMo. The samples with strongest scattering are shown in larger detail in Fig. 8.

Download Full Size | PDF

 figure: Fig. 7

Fig. 7 a) Diffuse scattering map for the unpolished sample with strongest total scattering intensity measured at the same orientation as in Fig. 6. b) Corresponding reciprocal space map for the same sample but irradiated from a different angle by rotating the sample by 90° around the surface normal. Clear differences in the tilt angle of the Bragg sheet can be observed associated with the vertical roughness correlation direction (see main text).

Download Full Size | PDF

5.1. Reconstruction of the power spectral density

The theoretical analysis was performed based on the DWBA for all scattering maps measured above, including the additional measurement for the unpolished sample with strongest scattering. The ideal model for each sample system entering the DWBA calculation was obtained from the analysis in Sec. 4.2. The optimization functional was calculated according to Eq. (12) for all off-specular wavelength scans for each angle. The combined likelihood was then calculated similarly to Eq. (14), as the sum of all measurement points for all angular positions and wavelengths. The optimization was conducted by the MCMC analysis with respect to the vertical correlation length ξ in the vertical correlation function c(q) and all PSD parameters in c(q). The list of the corresponding parameters and their bounds is given in Table 2.

Tables Icon

Table 2. Parameters of the DWBA analysis with parameter limits

For this analysis we assumed a Gaussian roughness profile at the interfaces, which is described mathematically by setting H ≡ 1.0 for all samples. For the two samples, the full maps with the strongest scattering from each set are shown in comparison to the best model DWBA calculation (Fig. 8). The results for the r.m.s. roughness of the MCMC analysis are shown in Fig. 9. All values are compiled in Table 3. The verification measurement of the rotated sample appears in the row below the respective sample and shows very good agreement with the original measurement. The only exception is the vertical correlation parameter ξ, which is lower in case of the rotated sample. This is due to a truncation of the scattering intensity to higher values of |qx|, because of the absorption due to the Si L2-edge.

 figure: Fig. 8

Fig. 8 Direct comparison of the measured reciprocal space maps with the DWBA calculation resulting from the parameters obtained with the MCMC optimization procedure (see text). a) shows the maps of the unpolished sample with strongest diffuse scattering. Similarly, b) shows the maps of the polished sample at the respective presumed crystallization threshold with strongest scattering.

Download Full Size | PDF

 figure: Fig. 9

Fig. 9 a) Root mean square roughness results from the analysis of the diffuse scattering for the two sample sets in comparison to the σ-factor obtained in the specular reflectivity analysis (indicated through their confidence intervals as shaded area). In each set, an increase of roughness is observed at the crystallization threshold. For comparison, the max peak reflectance (cf. Fig. 3(c)) for each sample set is shown in b). The increase in roughness clearly correlates with a significant dip in the peak reflectance as indicated by the dashed vertical lines.

Download Full Size | PDF

Tables Icon

Table 3. Results for the DWBA model parameters

For both sample sets a significant increase of roughness can be observed for the sample with thicker Mo layer of the two samples with reduced reflectance in each set, respectively. We associated the crystallization threshold with the molybdenum thickness of the first sample showing reduced reflectance. We attribute the fact, that no increased diffuse scattering can be observed to the formation of initially small crystallites. Those cause a roughening with high spacial frequencies, which does not correlate throughout the stack. Thus, the diffuse scattering is well below the detection threshold in our experiment since no resonant enhancement occurs. Interestingly, the roughness returns to the previous value for further increasing Mo layer thicknesses. That indicates a smoothing effect due to additional Mo deposition above the crystallization threshold. We also observe a restored peak reflectance in that case. For the polished samples, the formation of crystallites can be observed with similar effects, but at lower Mo layer thickness with overall significantly lower root mean square roughness values.

In addition, a large gap between the vertical correlation factors can be observed for comparing the polished and unpolished samples. As is to be expected, the polishing process largely reduces the roughness correlation between different interfaces. In the case of unpolished growth, almost the entire stack is correlated for the observable spatial frequencies. The large values for the in-planar correlation length for the polished samples are also a direct result of the polishing process.

6. Conclusions and outlook

We have demonstrated the analysis of Mo/Si/C multilayer systems designed for normal incidence operation in the EUV spectral range. Two sample sets were prepared with a varying Mo layer thickness, while keeping the total period thickness approximately constant. For the second set an interface polishing treatment was applied during deposition of each period to decrease the interface roughness. We have analyzed the thickness of the individual layers in specular EUV and X-ray reflectivity measurements. We observed the designed increase in the Mo content in good agreement with the nominal values. We also observed for both sample sets a simultaneous jump in the total period thickness D and the Mo layer thickness around dMo = 2.5 nm for the unpolished samples and dMo = 2.2 nm for the polished samples. In comparison to the suspected trend of the peak reflectance with dMo we observed two samples with lower reflectance in both sets, one exactly at the position of this jump and the other sample with nominally 0.15 nm lower dMo. Furthermore, the evaluation of the diffuse scatter revealed increased roughness throughout the ML stack for the samples just at the thickness jump. At least for the unpolished samples, this higher roughness is not observed from evaluating the specular reflectance alone, where the reflectance is diminished by the combined effects of roughness, interdiffusion and compound formation, which is represented by an effective σ-value in the Névot-Croce factor. For the polished samples, the overall effect is smaller and the enhanced scatter is also observed in the total Névot-Croce damping factor. The roughness amplitudes as derived from the diffuse scatter, however, have much smaller confidence intervals.

We interpret our findings in line with the observation of the formation of crystallites in the Mo layer [14] at around 2 nm thickness. Particularly, we assign the threshold to the lower thickness where the reflectance first drops without an observation of increased roughness by diffuse scatter. This is explained (analog to [14]) by the crystallization process starting with increased interdiffusion and small seeds corresponding to a short correlation length, yielding high spacial frequency roughness, not correlated throughout the stack. The corresponding scatter is thus not resonantly enhanced. Without the enhancement, it is below the detection threshold of our experiment. With increasing crystallites, the diffuse scatter becomes observable at slightly higher Mo thickness. Note that for the unpolished sample, the threshold coincides with the point where the ideal Mo-to-Si ratio should yield the highest reflectance in agreement with the findings in [14]. For the polished samples, this threshold is shifted to thinner Mo layers around dMo = 1.77(−0.22/+0.19) nm. This is beneficial for the peak reflectance, which is higher at the optimum ratio, than for the unpolished set. In both cases, a smoothening occurs for even larger Mo thickness, restoring the roughness to its value below the threshold. The evaluation of the diffuse scatter shows an overall lower roughness for the polished samples and, particularly, a destruction of vertical roughness correlation throughout the stack and an increase of the in-planar correlation length, as intended by the polishing.

Finally, we note that the analysis methods applied here allow to consistently determine the Mo layer thickness and the average power spectral density roughness for the interfaces throughout the full multilayer stack. The application of these methods to Mo/Si multilayer samples with varying Mo thickness with/without polishing illustrated the power of the method for the investigation of structural changes and confirmed previous findings on the onset of Mo crystallization.

References and links

1. D. S. Martínez-Galarce, A. B. C. Walker II, D. B. Gore, C. C. Kankelborg, R. B. Hoover, T. W. Barbee Jr., and P. F. X. Boerner, “High resolution imaging with multilayer telescopes: resolution performance of the MSSTA II telescopes,” Opt. Eng. 39, 1063–1079 (2000). [CrossRef]  

2. T. W. Barbee Jr., J. W. Weed, R. B. Hoover, M. J. Allen, J. F. Lindblom, R. H. O’Neal, C. C. Kankelborg, C. E. DeForest, E. S. Paris, A. B. C. Walker II, T. D. Willis, E. S. Gluskin, P. A. Pianetta, and P. C. Baker, “Multi-spectral solar telescope array II: soft x-ray EUV reflectivity of the multilayer mirrors,” Opt. Eng. 30, 1067–1075 (1991). [CrossRef]  

3. M. Toyoda, Y. Shitani, M. Yanagihara, T. Ejima, M. Yamamoto, and M. Watanabe, “A soft-x-ray imaging microscope with a multilayer-coated Schwarzschild objective: imaging tests,” Jpn. J. Appl. Phys. 39, 1926–1929 (2000). [CrossRef]  

4. M. Finkenthal, A. P. Zwicker, S. P. Regan, H. W. Moos, and D. Stutman, “Near normal incidence spectroscopy of a Penning ionization discharge in the 110–180 Å range with flat multilayer mirrors,” Appl. Opt. 29, 3467 (1990). [CrossRef]   [PubMed]  

5. E. Spiller, “Low-loss reflection coatings using absorbing materials,” Appl. Phys. Lett. 20, 365–367 (1972). [CrossRef]  

6. T. W. Barbee Jr., S. Mrowka, and M. C. Hettrick, “Molybdenum-silicon multilayer mirrors for the extreme ultraviolet,” Appl. Opt. 24, 883–886 (1985). [CrossRef]   [PubMed]  

7. D. G. Stearns, R. S. Rosen, and S. P. Vernon, “Fabrication of high-reflectance Mo–Si multilayer mirrors by planar-magnetron sputtering,” J. Vac. Sci. Technol. A 9, 2662–2669 (1991). [CrossRef]  

8. S. Bajt, J. B. Alameda, T. W. Barbee Jr., W. M. Clift, J. A. Folta, B. Kaufmann, and E. A. Spiller, “Improved reflectance and stability of Mo-Si multilayers,” Opt. Eng. 41, 1797–1804 (2002). [CrossRef]  

9. S. Braun, T. Foltyn, H. Mai, M. Moss, and A. Leson, “Grenzflächen-optimierte Mo/Si Multischichten als Reflektoren für den EUV Spektralbereich,” Vakuum in Forschung und Praxis 15, 76–81 (2003). [CrossRef]  

10. H. Nakajima, H. Fujimori, and M. Koiwa, “Interdiffusion and structural relaxation in Mo/Si multilayer films,” J. Appl. Phys. 63, 1046–1051 (1988). [CrossRef]  

11. S. Braun, H. Mai, M. Moss, R. Scholz, and A. Leson, “Mo/Si multilayers with different barrier layers for applications as extreme ultraviolet mirrors,” Jpn. J. Appl. Phys. 41, 4074 (2002). [CrossRef]  

12. S. K. Sinha, “X-ray diffuse scattering as a probe for thin film and interface structure,” J. Phys. III France 4, 1543–1557 (1994). [CrossRef]  

13. S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “EUV reflectance and scattering of Mo/Si multilayers on differently polished substrates,” Opt. Express 15, 13997–14012 (2007). [CrossRef]   [PubMed]  

14. S. Bajt, D. G. Stearns, and P. A. Kearney, “Investigation of the amorphous-to-crystalline transition in Mo/Si multilayers,” J. Appl. Phys. 90, 1017–1025 (2001). [CrossRef]  

15. J. Verhoeven, L. Chunguang, E. J. Puik, M. J. van der Wiel, and T. P. Huijgen, “Ion beam modification of Mo?Si multilayer systems for X-ray reflection,” Appl. Surf. Sci. 55, 97–103 (1992). [CrossRef]  

16. V. Holý and T. Baumbach, “Nonspecular X-ray reflection from rough multilayers,” Phys. Rev. B 49, 10668–10676 (1994). [CrossRef]  

17. V. Holý, J. Kuběna, I. Ohlídal, K. Lischka, and W. Plotz, “X-ray reflection from rough layered systems,” Phys. Rev. B 47, 15896–15903 (1993). [CrossRef]  

18. A. Haase, V. Soltwisch, C. Laubis, and F. Scholze, “Role of dynamic effects in the characterization of multilayers by means of power spectral density,” Appl. Opt. 53, 3019–3027 (2014). [CrossRef]   [PubMed]  

19. S. N. Yakunin, I. A. Makhotkin, K. V. Nikolaev, R. W. E. v. d. Kruijs, M. A. Chuev, and F. Bijkerk, “Combined EUV reflectance and x-ray reflectivity data analysis of periodic multilayer structures,” Opt. Express 22, 20076–20086 (2014). [CrossRef]   [PubMed]  

20. D. Foreman-Mackey, D. W. Hogg, D. Lang, and J. Goodman, “emcee: the MCMC Hammer,” Publications of the Astronomical Society of the Pacific 125, 306–312 (2013). ArXiv: 1202.3665. [CrossRef]  

21. T. Ito and S. Okazaki, “Pushing the limits of lithography,” Nature 406, 1027–1031 (2000). [CrossRef]   [PubMed]  

22. G. Brandt, J. Eden, R. Fliegauf, A. Gottwald, A. Hoehl, R. Klein, R. Müller, M. Richter, F. Scholze, R. Thornagel, G. Ulm, K. Bürkmann, J. Rahn, and G. Wüstefeld, “The Metrology Light Source – The new dedicated electron storage ring of PTB,” Nucl. Instr. Meth. Phys. Res. B 258, 445–452 (2007). [CrossRef]  

23. J. Tummler, H. Blume, G. Brandt, J. Eden, B. Meyer, H. Scherr, F. Scholz, F. Scholze, and G. Ulm, “Characterization of the PTB EUV reflectometry facility for large EUVL optical components,” Proc. SPIE 5037, 265–273 (2003). [CrossRef]  

24. M. Born and E. Wolf, Principles of optics (Cambridge University, 1965), 3rd ed.

25. P. Mikul’ik, “X-ray reflectivity from planar and structured multilayers,” Ph.D. thesis, Thèse de l’Université Joseph Fourier (1997).

26. P. Croce and L. Névot, “Étude des couches minces et des surfaces par réflexion rasante, spéculaire ou diffuse, de rayons X,” Rev. Phys. Appl. (Paris) 11, 113–125 (1976). [CrossRef]  

27. E. Spiller, D. Stearns, and M. Krumrey, “Multilayer x-ray mirrors: interfacial roughness, scattering, and image quality,” J. Appl. Phys. 74, 107–118 (1993). [CrossRef]  

28. D. K. G. de Boer, “X-ray reflection and transmission by rough surfaces,” Phys. Rev. B 51, 5297–5305 (1995). [CrossRef]  

29. D. K. G. de Boer, A. J. G. Leenaers, and W. W. v. d. Hoogenhof, “Influence of roughness profile on reflectivity and angle-dependent X-ray fluorescence,” J. Phys. III France 4, 1559–1564 (1994). [CrossRef]  

30. J. Goodman and J. Weare, “Ensemble samplers with affine invariance,” Communications in Applied Mathematics and Computational Science 5, 65–80 (2010). [CrossRef]  

31. A. Haase, S. Bajt, P. Hönicke, V. Soltwisch, and F. Scholze, “Multiparameter characterization of subnanometre Cr/Sc multilayers based on complementary measurements,” J. Appl. Cryst. 49, 2161–2171 (2016). [CrossRef]  

32. K. Levenberg, “A method for the solution of certain non-linear problems in least square,” Quarterly Appl. Math. 2(2), 164–168 (1944). [CrossRef]  

33. D. W. Marquardt, “An algorithm for least-squares estimation of nonlinear parameters,” Journal of the society for Industrial and Applied Mathematics 11, 431–441 (1963). [CrossRef]  

34. J. Kennedy, “Particle swarm optimization,” in “Encyclopedia of Machine Learning,” C. Sammut and G. I. Webb, eds. (SpringerUS, 2011), pp. 760–766.

35. A. K. Petford-Long, M. B. Stearns, C.-H. Chang, S. R. Nutt, D. G. Stearns, N. M. Ceglio, and A. M. Hawryluk, “High-resolution electron microscopy study of x-ray multilayer structures,” J. Appl. Phys. 61, 1422–1428 (1987). [CrossRef]  

36. E. M. Gullikson and D. G. Stearns, “Asymmetric extreme ultraviolet scattering from sputter-deposited multilayers,” Phys. Rev. B 59, 13273–13277 (1999). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (9)

Fig. 1
Fig. 1 Model of the multilayer stack including the substrate and the capping layers. The periodic part is enclosed between the dashed lines with four layers in each period repeated 49 times. The capping period does not include a compound layer but has a natural SiO2 layer and a carbon-like layer accounting for contamination on the top surface.
Fig. 2
Fig. 2 Schematic measurement geometry for the EUV reflectance and diffuse scattering measurements. The detector is kept at a fixed position ΔΘ for all experiments. In the case of the specular reflectance measurement, the detector is positioned in such that αi = αf for a sample rocking angle of ω = 0. For the diffuse scattering experiment, the sample rocking angle ω is varied such that the diffusely scattered radiation hits the detector (see text).
Fig. 3
Fig. 3 a) Reflectivity curves for the unpolished samples across the wavelength at a fixed angle of incidence of αi = 15° from the surface normal. The nine samples differ by the nominal Mo layer thickness indicated at the bottom axis. b) Reflectivity curves of the ten polished samples measured under the same conditions as for the first sample set.
Fig. 4
Fig. 4 a) Fitted Mo thickness values for both sample sets resulting from the MCMC analysis (see text). The nominal Mo layer thickness is shown in comparison in good agreement with the obtained thicknesses. b) Fitted total period thickness D for both sample sets. For both sample sets, clear jumps can be observed at approx. dMo ≈ 2.0 nm and dMo ≈ 2.4 nm, respectively. The marked (circle) samples were measured and analyzed with respect to the diffuse scattering.
Fig. 5
Fig. 5 Peak reflectance values for each unpolished (a) and polished (b) sample obtained from the measurements shown in Fig. 3 in comparison to the maximum theoretical reflectance for a respective optimal multilayer stack without roughness and interdiffusion (blue solid line in (a) and (b)). The dashed lines indicate the expected reflectance for a realistic multilayer system including interdiffusion and roughness for both sample sets. A significant deviation (reflectance dip) can be observed in both sets for different molybdenum layer thicknesses and two data points in each set (see main text).
Fig. 6
Fig. 6 Measured diffuse scattering distributions in reciprocal space representation shown on linear false-color scale. The selected unpolished samples are shown in a) with increasing Mo layer thickness dMo. The selected samples for the polished set are shown in b) also in order of increasing Mo thickness dMo. The samples with strongest scattering are shown in larger detail in Fig. 8.
Fig. 7
Fig. 7 a) Diffuse scattering map for the unpolished sample with strongest total scattering intensity measured at the same orientation as in Fig. 6. b) Corresponding reciprocal space map for the same sample but irradiated from a different angle by rotating the sample by 90° around the surface normal. Clear differences in the tilt angle of the Bragg sheet can be observed associated with the vertical roughness correlation direction (see main text).
Fig. 8
Fig. 8 Direct comparison of the measured reciprocal space maps with the DWBA calculation resulting from the parameters obtained with the MCMC optimization procedure (see text). a) shows the maps of the unpolished sample with strongest diffuse scattering. Similarly, b) shows the maps of the polished sample at the respective presumed crystallization threshold with strongest scattering.
Fig. 9
Fig. 9 a) Root mean square roughness results from the analysis of the diffuse scattering for the two sample sets in comparison to the σ-factor obtained in the specular reflectivity analysis (indicated through their confidence intervals as shaded area). In each set, an increase of roughness is observed at the crystallization threshold. For comparison, the max peak reflectance (cf. Fig. 3(c)) for each sample set is shown in b). The increase in roughness clearly correlates with a significant dip in the peak reflectance as indicated by the dashed vertical lines.

Tables (3)

Tables Icon

Table 1 Multilayer parametrization and parameter limits

Tables Icon

Table 2 Parameters of the DWBA analysis with parameter limits

Tables Icon

Table 3 Results for the DWBA model parameters

Equations (14)

Equations on this page are rendered with MathJax. Learn more.

r ( j ) = r i d ( j ) exp ( 2 k z ( j ) k z ( j + 1 ) σ 2 ) , t ( j ) = t i d ( j ) exp ( ( k z ( j ) k z ( j + 1 )   2 σ 2 / 2 ) ,
r i d ( j ) = k z ( j ) k z ( j + 1 ) k z ( j ) + k z ( j + 1 ) , t i d ( j ) = 2 k z ( j ) k z ( j ) + k z ( j + 1 ) .
k z ( j ) = ( n ( j ) k v a c ) 2 k x 2
(   E R E 0 ) = j M j (   0 E T ) .
M j = 1 t ( j ) ( 1 r ( j ) r ( j ) 1 ) ( e i k z ( j + 1 ) d j 1 1 e i k z ( j + 1 ) d j ) ,
R = | E R / E 0 | 2 , T = | E T / E 0 | 2 .
E t ( j ) ( z ) = T j e i k z ( j ) z ,
E r ( j ) ( z ) = R j e i k z ( j ) z ,
( d σ d Ω ) = [ π 2 λ 4 cos α i j = 1 N i = 1 N ( n j 2 n j + 1 2 ) * ( n i 2 n i + 1 2 ) ( ( T j ( 1 ) + R j ( 1 ) ) * ( T j ( 2 ) + R j ( 2 ) ) * × ( T i ( 1 ) + R i ( 1 ) ) ( T i ( 2 ) + R i ( 2 ) ) ) exp ( i q tan β ( z i z j ) ) c i j ] C ( q ) ,
c i j ( q ) = exp ( n = min ( i , j ) max ( i , j ) 1 d n / ξ ( q ) ) ,
C ( q ) = 4 π H σ r 2 ξ 2 ( 1 + | q | 2 ξ 2 ) 1 + H ,
χ ˜ = 1 m p [ m ( I m model I m means ) 2 σ ˜ m 2 ] ,
χ 2 = χ ˜ EUV 2 + χ ˜ XRR 2 ,
χ 2 = χ ˜ diff 2 .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.