Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Dynamic budget analysis of multiple parameters in a lithography system based on the superposition of light intensity fluctuations

Open Access Open Access

Abstract

Lithography is one of the most critical processes in the manufacturing of micro- and nano-devices. As device critical dimensions continue to shrink, variations in system parameters during the lithography process often result in heavy deviations from the intended targets, making control of these parameters crucial to ensure that lithography results meet process requirements. Gaining a thorough comprehension of how various parameters interact and contribute to lithography errors is significant, and it is equally important to offer precise suggestions for managing these parameters in extreme ultraviolet lithography (EUVL) scanners. This paper analyzes the key physical factors in the light source, illumination system and projection system of EUVL scanners and proposes what we believe to be a new methodology of budget analysis utilizing the superposition of light intensity fluctuations. Then the corresponding characteristics of light intensity fluctuations are analyzed when these parameters have fluctuated through theoretical formula derivation. A mapping model was established between parameter fluctuations and imaging outcomes through the distribution of light intensity. The yield requirements for critical dimension and pattern shift in EUVL are used to determine the exact budget range for each parameter in the proposed methodology. By controlling the parameters according to the budget analysis method proposed in this paper, the deviation between the experimental results from the yield requirements is no more than 0.1% in average. This approach allows for dynamic updating of the control range of relevant parameters based on their distinct characteristics to accommodate the unique fingerprints of various EUVL scanners. Furthermore, based on this adaptive budget range of multiple parameters, it can offer distinct direction for the development of lithography equipment or serve as a clear indication for parameter monitoring.

Β© 2024 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

Lithography is a crucial process in the manufacture of micro/nano devices, all the semiconductor devices, optoelectronic devices, and micro-electro mechanical systems (MEMS) rely on lithography technology [1]. Especially for the manufacturing of ultra large scale integrated circuits [2,3], it is precisely due to the continuous development of lithography technology that the device size can comply with Moore’s law [4] to achieve device integration degree doubling every 18 months. The shrinking of device size reduces costs significantly while improving circuit performance [1]. The lithography process uses ultraviolet light to image the designed pattern onto the resist. After photochemical reaction and development, the target pattern will be retained. According to the Rayleigh criterion [1]:

$$R=\frac{k_1\cdot\lambda}{NA},$$
where $R$ is the system resolution, which is also the minimum imaging size, and $k_1$ is a constant process factor, $\lambda$ is the illumination wavelength, and $NA$ is the numerical aperture of the imaging optics. It can be seen from Eq.Β (1) that resolution minimum size can be reduced by reducing the illumination wavelength. At present, the wavelength used in the state-of-the-art extreme ultraviolet lithography (EUVL) tool is only 13.5nm, promoting the development of integrated circuit manufacturing greatly. However, for integrated circuits with nodes of 5nm and below, the minimum critical dimension (CD) is only 14nm, and its corresponding process tolerance is only a few atomic thicknesses [5], which poses a huge challenge to the accuracy of lithography process [6–10]. In extreme ultraviolet lithography (EUVL), the reflective structure of the optical system necessitates oblique light to pass across the mask, leading to a substantial 3D effect on the mask [11–13]. The 3D effect can severely impact CD and pattern shift (PS), which affect the alignment between layers in turn. However, due to the limitations of manufacturing and process fluctuations, the parameters set in the lithography system may vary within a certain range, resulting in lithography results deviating from expected values [6,7]. Moreover, there are complex coupling effects between system parameters, which exacerbates the unpredictability of lithography results [8–10]. Therefore, it is crucial to control the key parameters strictly in the lithography system.

Currently, there exist two primary methods for managing process parameters that function at different stages, including advanced process control (APC) [14] and budget analysis [15]. The APC method assesses and inspects the results of lithography, calculates the parameters that require calibration, and then sends them to the lithography equipment through a feedback system to control the process parameters and ensure satisfactory lithography results [14]. As a result of challenges such as monitoring a large number of parameters and ensuring feedback models are accurate, early APC methods are no longer sufficient for advanced process nodes. To overcome these challenges, numerous new APC methods have been developed, which vary in terms of the parameters monitored, the wafer measurement points, the measurement techniques employed, and the use of novel modeling or feedback approaches [16–23]. APC remains a convenient tool for providing practical recommendations. Although APC methods are useful for optimizing the lithography process, they do not offer comprehensive guidance for the development of new lithography equipment.

Budget analysis plays a significant role in the advancement of lithography technology, particularly in the development and initial production stages of equipment. It conducts budget analysis across multiple parameters during the research and development stage or early production stage of the lithography equipment, thereby ensuring the good performance of the final lithography results [24]. The process of budget analysis involves collaborating on the layout and experiments to obtain exposure results. Subsequently, the distribution of wafer results is analyzed, along with the parameters that significantly affect the exposure results and their degree of impact [24]. This analysis can offer direction for the research and development of lithography equipment and process optimization [25]. Various budget analysis methods have emerged with different experimental design schemes, data statistical methods, or data processing techniques to obtain more detailed parameter information and more accurate guidance [26–34]. The ability of these methods to focus on multiple parameters and accurately determine the degree of their effect allows for clear optimization directions in the development of new equipment. However, current budget analysis methods do not fully consider the coupling effects between multiple parameters, and cannot provide clear and specific range requirements for each parameter, resulting in difficulties in setting more accurate monitoring ranges for various parameters in a linked manner during the lithography process of equipment.

The aim is to acquire a thorough comprehension of the complex interdependence among various factors and the origins of lithography inaccuracies, and subsequently offer precise recommendations for the development of new scanners and specific parameter monitoring ranges for machines in operation [25]. A methodology of budget analysis across multiple parameters in EUVL system has been proposed. In this study, multiple parameters in the lithography system, including the light source, illumination system and projection system, were considered simultaneously. As fluctuations in light intensity, caused by key parameter variations in the lithography system, have a direct impact on the resulting imaging outcomes. The correlation between imaging outcomes and the necessary range for each parameter is demonstrated according to the light intensity distribution in this research. Based on this method, a multi-parameter control range that satisfies the lithography result requirements can be obtained, and can adapt to different machine fingerprints by updating budget ranges dynamically. Furthermore, based on this adaptive budget range of multiple parameters, it can offer distinct direction for the development of lithography equipment or serve as a clear indication for parameter monitoring. The application scenario has been depicted in Fig.Β 1.

Β figure: Fig. 1.

Fig. 1. The application scenario of the proposed method.

Download Full Size | PDF

This paper is structured as follows. In Section 2, the process and principle of lithography are introduced briefly. In Section 3, an analysis is conducted on the characteristics of the superposition of fluctuations in light intensity resulting from variations in parameters. Subsequently, the methodology of the budget analysis of multiple parameters was introduced. The results and discussions based on the proposed method are presented in Section 4.. Section 5 provides a conclusion and outlook for this paper.

2. Preliminaries

The process of lithography includes the following steps mainly: cleaning, spin-coating, prebake, exposure, post exposure bake, development, hard bake and metrology. Among them, the most critical exposure process needs to be carried out in the lithography tool [1]. The imaging system in advanced projection lithography tools can be approximated by the partially coherent imaging system, as shown in Fig.Β 2.

Β figure: Fig. 2.

Fig. 2. Lithography process flow and imaging system of the projection lithography.

Download Full Size | PDF

The reticle is illuminated by an extended quasi-monochromatic source in the manner of KΓΆhler illumination, with uniform intensity distribution facilitated by the condenser lens. The projection optics then generate a reduced image of the mask in the wafer (image plane) [35–41].

The Abbe imaging method is applicable for determining the aerial image on the wafer [35].

$$\hat{I}(\hat{x},\hat{y};z)=\iint\tilde{\hat{J}}(\hat{f},\hat{g})\left[{\mid}\iint\tilde{\hat{H}} (\hat{f}+\hat{f}',\hat{g}+\hat{g}';Z)\tilde{\hat{O}}(\hat{f}',\hat{g}')e^{{-}i2\pi\left[\hat{f}'\hat{x},\hat{g}'\hat{y} \right]}d\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g},$$
where $(\hat {f},\hat {g})$ are normalized spatial frequencies in $x$ and $y$ directions, respectively. $\tilde {\hat {J}}(\hat {f},\hat {g})$ is the effective source function. $\tilde {\hat {O}}(\hat {f}',\hat {g}')$ is the mask spectrum. The spatial transfer function, denoted as $\tilde {\hat {H}}(\hat {f},\hat {g};Z)$, can be expressed using the subsequent equation:
$$\tilde{\hat{H}}(\hat{f},\hat{g};Z)=\tilde{\hat{H}}_0(\hat{f},\hat{g};0)R(\hat{f},\hat{g})e^{{+}i2\pi\phi(\hat{\rho},\varphi)},$$
where $(\hat {\rho },\varphi )$ are the polar coordinates that corresponds with the orthogonal coordinates of $(\hat {f},\hat {g})$. $R(\hat {f},\hat {g})$ is the radiometric correction item induced by the reduction imaging optics of the projector. $e^{+i2\pi \phi (\hat {\rho },\varphi )}$ is used to account for aberration of the projection optics. $\tilde {\hat {H}}_0(\hat {f},\hat {g};0)$ is an ideal pupil function in focus ($z=0$), which is given by
$$\tilde{\hat{H}}_0(\hat{f},\hat{g};0)=\left\{ \begin{array}{rcl} 1 & if \hat{\rho}_\theta\leq\sin{\theta_{obj}} \\ 0 & otherwise \end{array}\right.,$$
where $\theta _{obj}$ is the semi-aperture angle.

From Eqs.Β (2)–(4), it is evident that the imaging outcomes in lithography systems are influenced by multiple components, including the light source, illumination system, mask and projection system.

3. Superposition of fluctuations in light intensity and the methodology of the budget analysis

3.1 Superposition principle of intensity fluctuations resulting from multi-parameter variations

According to the theory presented in Section 2, variations in key parameters may lead to changes in the system function. Taking the effective source function $\tilde {\hat {J}}$ as an example, when $\tilde {\hat {J}}$ undergoes a small variation $\tilde {\hat {J}}'$, the light source function can be expressed as $\tilde {\hat {J}}+\tilde {\hat {J}}'$, and the final light intensity is:

$$\begin{aligned} {\hat{I}_{final}} & {=} {\iint\left[\tilde{\hat{J}}+\tilde{\hat{J}}'\right]\left[{\mid}\iint\tilde{\hat{H}}\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g}} \\ & {=\iint\tilde{\hat{J}}\left[{\mid}\iint\tilde{\hat{H}}\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g} +\iint\tilde{\hat{J}}'\left[{\mid}\iint\tilde{\hat{H}}\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g}}\\ & {=\hat{I}+\hat{I}_{\tilde{\hat{J}}'}}, \end{aligned}$$
where $\hat {I}_{final}$ represents the final light intensity distribution, $\hat {I}$ indicates the initial light intensity, and $\hat {I}_{\tilde {\hat {J}}'}$ indicates the fluctuation of light intensity caused by $\tilde {\hat {J}}'$. The $e^{-i2\pi \left [\hat {f}'\hat {x},\hat {g}'\hat {y} \right ]}$ is simplified by $F$. The final light intensity can be considered as a linear superposition of $\hat {I}$ and $\hat {I}_{\tilde {\hat {J}}'}$.

If a small variation is introduced into the spatial transfer function $\tilde {\hat {H}}$, the spatial transfer function can be represented as $\tilde {\hat {H}}+\tilde {\hat {H}}'$. And the light intensity can be expressed as:

$$\begin{aligned} {\hat{I}_{final}} & {=} {\iint\tilde{\hat{J}}\left[{\mid}\iint(\tilde{\hat{H}}+\tilde{\hat{H}}')\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g}} \\ & {=\iint\tilde{\hat{J}}\left[{\mid}\iint\tilde{\hat{H}}\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'+\iint\tilde{\hat{H}}'\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g}} , \end{aligned}$$

To simplify the formula and enhance its comprehensibility, the aforementioned equations can be converted into a more straightforward format using Eqs.Β (7,8).

$$\iint\tilde{\hat{H}}\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'=h.$$
$$\iint\tilde{\hat{H}}'\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'=h'.$$

Therefore, Eq.Β (6) can be expressed as:

$${\begin{aligned} \hat{I}_{final} & = \iint\tilde{\hat{J}}(Re(h+h')^2+Im(h+h')^2)d\hat{f}d\hat{g}\\ & = \iint\tilde{\hat{J}}(Re(h)^2+Im(h)^2+Re(h')^2+Im(h')^2+2Re(h)Re(h')+2Im(h)Im(h'))d\hat{f}d\hat{g}, \end{aligned}}$$
where $Re(\cdot )$ denotes the real part of the function, and $Im(\cdot )$ denotes the imaginary part of the function.

There is $h\gg h'$, as $\tilde {\hat {H}}\gg \tilde {\hat {H}}'$.Thus it can be concluded that: $Re(h)^2+Re(h')^2\gg 2Re(h)Re(h')$ and $Im(h)^2+RIm(h')^2\gg 2Im(h)Im(h')$. Therefore, Eq.Β (9) can be expressed as:

$$\hat{I}_{final}=\hat{I}+\hat{I}_{\tilde{\hat{H}}'}+o(\hat{I}+\hat{I}_{\tilde{\hat{H}}'}),$$
where $\hat {I}_{\tilde {\hat {H}}'}$ indicates the fluctuation of light intensity caused by $\tilde {\hat {H}}'$. And $o(\hat {I}+\hat {I}_{\tilde {\hat {H}}'})$ represents the coupling effect between $\tilde {\hat {H}}$ and $\tilde {\hat {H}}'$, and the value of $o(\hat {I}+\hat {I}_{\tilde {\hat {H}}'})$ is ultimately negligible compared to the value of $\hat {I}+\hat {I}_{\tilde {\hat {H}}'}$. Therefore, when $\tilde {\hat {H}}'\ll \tilde {\hat {H}}$, the final light intensity can be considered as the linear superposition of $\hat {I}$ and $\hat {I}_{\tilde {\hat {H}}'}$ with their coupling effect.

As $\tilde {\hat {H}}'\ll \tilde {\hat {H}}$, there will be $\hat {I}_{\tilde {\hat {H}}'}\ll \hat {I}$, then $o(\hat {I}+\hat {I}_{\tilde {\hat {H}}'})$ can also be expressed as $o(\hat {I})$. Then the final light intensity can be expressed as:

$$\hat{I}_{final}=\hat{I}+\hat{I}_{\tilde{\hat{H}}'}+o(\hat{I}),$$

If $\tilde {\hat {J}}$ and $\tilde {\hat {H}}$ vary simultaneously, they will lead to changes in the distribution of light intensity, which can be expressed in the following form:

$$\begin{aligned} {\hat{I}_{final}} & {=} {\iint(\tilde{\hat{J}}+\tilde{\hat{J}}')\left[{\mid}\iint(\tilde{\hat{H}}+\tilde{\hat{H}}')\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g}} \\ & {=\iint(\tilde{\hat{J}}+\tilde{\hat{J}}')\left[{\mid}\iint\tilde{\hat{H}}\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'+\iint\tilde{\hat{H}}'\tilde{\hat{O}}Fd\hat{f}'d\hat{g}'\mid^2\right]d\hat{f}d\hat{g}} \\ & {=\hat{I}+\hat{I}_{\tilde{\hat{J}}'}+\hat{I}_{\tilde{\hat{H}}'}+o(\hat{I}).} \end{aligned}$$

The simplification process is similar to that of Eqs.Β (9)–(11). When the variations of functions are small, the final light intensity can be treated as the superposition of $\hat {I}$, $\hat {I}_{\tilde {\hat {J}}'}$, $\hat {I}_{\tilde {\hat {H}}'}$, and all the coupling effect between $\tilde {\hat {H}}$, $\tilde {\hat {H}}'$, $\tilde {\hat {J}}$ and $\tilde {\hat {J}}$, which are summarized in $o(\hat {I})$.

Hence, if $n$ critical parameters change simultaneously, the final light intensity distribution is shown in Eq.Β (13).

$$\hat{I}_{final}=\hat{I}_{ideal}+\sum_{i=1}^{n} \hat{I}_{fi}+o(\hat{I}_{ideal}), {i=1,2,\ldots,N},$$
where $\hat {I}_{fi}$ represents the fluctuation of light intensity caused by the $i^{th}$ parameter. $N$ represents the positive integers.

Therefore, for the Abbe imaging system, when there are small variations in critical parameters, the final light intensity distribution is the linear superposition of the initial light intensity distribution $\hat {I}$, the light intensity fluctuations caused by the variations of parameters $\hat {I}_{fi}$, and all the coupling effect between parameters, as shown in Fig.Β 3.

Β figure: Fig. 3.

Fig. 3. Superposition theory of light intensity fluctuations with multiple key parameter changes.

Download Full Size | PDF

3.2 Budget analysis of multiple critical parameters based on superposition of fluctuations in light intensity

When multiple critical system parameters change simultaneously, establishing a model between the system parameters and the development results directly through exposure and development experiment methods requires a very high cost. A methodology of analyzing budget of multiple critical parameters through the superposition of fluctuations in light intensity is put forward in this subsection. Specifically, this method includes the following four steps, as show in Fig.Β 4.

Β figure: Fig. 4.

Fig. 4. Procedure of the proposed budget analysis method of multiple key parameters.

Download Full Size | PDF

3.2.1 Approximation of light intensity distribution

According to Eq.Β (13), assuming that the initial light intensity distribution is the light intensity distribution obtained when all critical parameters are at their ideal values, the final light intensity distribution is shown in Eq.Β (14).

$$\hat{I}=\hat{I}_{ideal}+\sum_{i=1}^{n} \hat{I}_{fi}+o(\hat{I}_{ideal}),$$
where $\hat {I}_{ideal}$ denotes the ideal light intensity. And $o(\hat {I}_{ideal})$ represents the all the coupling effects between all the critical parameters. When $o(\hat {I}_{ideal}) \ll \hat {I}_{ideal}+\sum _{i=1}^{n} \hat {I}_{fi}$, the final light intensity distribution can be approximated by Eq.Β (15).
$$\hat{I}_{w/o. ce}\approx\hat{I}_{ideal}+\sum_{i=1}^{n} \hat{I}_{fi},$$
where $\hat {I}_{w/o. ce}$ denotes the final light intensity distribution without the coupling effects.

3.2.2 Mapping relationship between the intensity distribution without coupling effects and the resulting imaging outcomes

Fluctuations in light intensity can cause changes in the imaging outcomes from the ideal results, when the variation of the parameter $p_i$ is very small, the change of the imaging outcomes can be expressed as [42,43]:

$$\left\{ \begin{array}{l} \displaystyle \Delta CD_{fi}=CD_{pi}-CD_{ideal}=\frac{CD_{pi\_max}-CD_{pi\_min}}{p_{i\_max}-p_{i\_min}} \centerdot (p_i-p_{i\_min}) \\ \displaystyle \Delta PS_{fi}=PS_{pi}-PS_{ideal} =\frac{PS_{pi\_max}-PS_{pi\_min}}{p_{i\_max}-p_{i\_min}} \centerdot (p_i-p_{i\_min}) \end{array}\right.,$$
where $CD_{pi\_max}$, $CD_{pi\_min}$, $PS_{pi\_max}$ and $PS_{pi\_min}$ represent the CD and PS values of the parameter $p_i$ at its maximum or minimum value, respectively. $p_{i\_max}$ and $p_{i\_min}$ represent the maximum and minimum values of the parameter $p_i$ after variation, respectively, and $p_{i\_ideal}$ represents the ideal value of the parameter $p_i$.

For the line/space patterns that are perpendicular to the $x$-axis, the light intensity distribution $\hat {I}$ at a specific measurement height is only a function of position $x$, and their relationship can be represented by Eq.Β (17).

$$x(\hat{I})=f^{{-}1}(\hat{I}(x)),$$
where $f^{-1}(\hat {I}(x))$ represents the inverse function of the function $\hat {I}(x)$.

If the light intensity is equal to the threshold to size (TTS), the corresponding position $x$ is:

$$\left\{ \begin{array}{l} x_1=f^{{-}1}(\hat{I}(x_1)=TTS)\\ x_2=f^{{-}1}(\hat{I}(x_2)=TTS) \end{array}\right., x_1 > x_2,$$
where $x_1$ and $x_2$ represent the pattern edge position after development. Then the mapping relationships between the light intensity distribution and the resulting imaging outcomes are:
$$\left\{ \begin{array}{l} CD=x_1-x_2=f^{{-}1}(\hat{I}(x_1)=TTS)-f^{{-}1}(\hat{I}(x_2)=TTS))\\ \displaystyle PS=\frac{x_1+x_2}{2}-x_0=\frac{f^{{-}1}(\hat{I}(x_1)=TTS)+f^{{-}1}(\hat{I}(x_2)=TTS)}{2}-x_0 \end{array}\right.,$$
where $x_0$ represents the ideal position of pattern’s center.

Within a narrow range of $x$, the distribution of light intensity around position $x$ can be approximated as linear. As a result, the following relationship arises based on the proposed superposition theory:

$$f^{{-}1}(\hat{I}_{w/o.ce}(x))=f^{{-}1}(\hat{I}_{ideal}+\sum_{i=1}^{n} \hat{I}_{fi})=f^{{-}1}(\hat{I}_{ideal})+\sum_{i=1}^{n}f^{{-}1}(\hat{I}_{fi}),$$

Combining Eqs.Β (19),(20), then the mapping relationships between the inverse function of light intensity distribution without coupling effects $\hat {I}_{w/o.ce}$ and the resulting imaging outcomes $(CD_{w/o.ce},PS_{w/o.ce})$ are:

$$\left\{ \begin{array}{l} CD_{w/o. ce}=CD_{ideal}+\sum_{i=1}^{n} \Delta CD_{fi}\\ PS_{w/o. ce}=PS_{ideal}+\sum_{i=1}^{n} \Delta PS_{fi} \end{array}\right.,$$
where $CD_{w/o. ce}$ and $PS_{w/o. ce}$ represent the imaging outcomes CD and PS corresponding to $\hat {I}_{w/o. ce}$, respectively.

3.2.3 Fitting between development results and the imaging outcomes without coupling effects

There are differences between the actual development results (corresponding to the light intensity distribution with the coupling effects) $(CD_{w. ce},PS_{w. ce})$ and the imaging outcomes without coupling effects $(CD_{w/o. ce},PS_{w/o. ce})$. To ensure that the final critical system parameters have accurate ranges of variation budget, it is necessary to make $(CD_{w/o. ce},PS_{w/o. ce})$ as close as possible to $(CD_{w. ce},PS_{w. ce})$. This requires a compensation for the omitted coupling effects of light intensity. There are functional relationships between $(CD_{w. ce},PS_{w. ce})$ and $(CD_{w/o. ce},PS_{w/o. ce})$, and expand the functions into analytical polynomial forms, as shown in Eq.Β (22):

$$\left\{ \begin{array}{l} CD_{w. ce}=f_1(CD_{w/o. ce})=\sum_{j=0}^{m} c_j(CD_{w/o. ce})^j\\ PS_{w. ce}=f_2(PS_{w/o. ce})=\sum_{k=0}^{z} c_k(PS_{w/o. ce})^k \end{array}\right., {j,k,m,z=0,1,\ldots,N},$$
where $j$ and $k$ represent the order of the polynomials. $m$ and $z$ represent the highest order of the polynomials. The higher the highest order results in the higher the accuracy of the model, but at the same time, it requires longer modeling time. $c_j$ and $c_k$ represent the coefficients of the polynomials, and they can be fitted according to the results of a small amount of Monte Carlo experiments with each parameter distributed uniformly are conducted.

Thus, the analytical models between system parameters and development results $(CD_{w. ce},$ $PS_{w. ce})$ are obtained.

3.2.4 Budget analysis of multiple critical parameters

The tolerance requirements of production yield on $(CD_{w. ce},PS_{w. ce})$ determines the overall budget range of parameter variations. That is, $(CD_{w. ce},PS_{w. ce})$ must within both the range of CD and PS for all critical parameters, thus, the range of $(CD_{w/o. ce},PS_{w/o. ce})$ can be shown in Eq.Β (23).

$$\left\{ \begin{array}{l} {f_1^{{-}1}((CD_{w. ce})_\_)\leq CD_{w/o. ce}\leq f_1^{{-}1}((CD_{w. ce})_+)}\\ {f_2^{{-}1}((PS_{w. ce})_\_)\leq PS_{w/o. ce}\leq f_2^{{-}1}((PS_{w. ce})_+)} \end{array}\right.,$$
where $f_1^{-1}(\cdot )$ and $f_2^{-1}(\cdot )$ denote the inverse function of $f_1(\cdot )$ and $f_2(\cdot )$, respectively. $(CD_{w. ce})_\pm$ and $(PS_{w. ce})_\pm$ represent the upper and lower limits of $(CD_{w. ce},PS_{w. ce})$.Similarly, we assume that $(CD_{w/o. ce})_\pm$ and $(PS_{w/o. ce})_\pm$ represent the upper and lower limits of $(CD_{w/o. ce},PS_{w/o. ce})$. The budget ranges of parameters variations can be obtained according to the ranges of $(CD_{w/o. ce},PS_{w/o. ce})$, as shown in Eq.Β (24).
$$\left\{ \begin{array}{l} \displaystyle p_i \geq \frac{(CD_{w/o. ce})_\_-CD_{ideal}}{CD_{pi\_max}-CD_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \\ \displaystyle p_i \leq \frac{(CD_{w/o. ce})_+{-}CD_{ideal}}{CD_{pi\_max}-CD_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \\ \displaystyle p_i \geq \frac{(PS_{w/o. ce})_\_-PS_{ideal}}{PS_{pi\_max}-PS_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \\ \displaystyle p_i \leq \frac{(PS_{w/o. ce})_+{-}PS_{ideal}}{PS_{pi\_max}-PS_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \end{array}\right..$$

In order to achieve well circuit functionality, the lithography results must meet the requirements of both CD and PS. Therefore, the budget ranges of parameters variations should be the intersection of the solutions of the two equations in Eq.Β (24). If the parameter varies exceed the budget ranges, the development results will not meet the tolerance requirements of production yield.

Once the budget range of one parameter variation is determined, the changes of the imaging outcomes can be determined according to Eq.Β (16). Then, the budget range of the next parameter variation can be determined according to Eq.Β (25).

$$\left\{ \begin{array}{l} \displaystyle p_i \geq \frac{(CD_{w/o. ce})_\_-CD_{ideal}-\sum_{i=1}^{g} \Delta CD_{fi}}{CD_{pi\_max}-CD_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \\ \displaystyle p_i \leq \frac{(CD_{w/o. ce})_+{-}CD_{ideal}-\sum_{i=1}^{g} \Delta CD_{fi}}{CD_{pi\_max}-CD_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \\ \displaystyle p_i \geq \frac{(PS_{w/o. ce})_\_-PS_{ideal}-\sum_{i=1}^{g} \Delta PS_{fi}}{PS_{pi\_max}-PS_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \\ \displaystyle p_i \leq \frac{(PS_{w/o. ce})_+{-}PS_{ideal}-\sum_{i=1}^{g} \Delta PS_{fi}}{PS_{pi\_max}-PS_{pi\_min}}\centerdot (p_{i\_max}-p_{i\_min})+p_{i\_min} \end{array}\right..$$
where $g$ represents the number of determined parameters.

Repeat Eq.Β (25) until all the variation ranges of critical parameters are determined. The order of determining the budget ranges of parameters variations can be based on the difficulty of controlling the parameter or the degree of attention given to the parameter.

4. Results and discussion

4.1 Experimental conditions

According to the guidance of the International Roadmap for Devices and Systems (IRDS) [44], the key metal layer of the 5nm node typically uses a line pattern with a half pitch of 14nm, which is very close to the theoretical resolution limit of 13nm for EUVL with a numerical aperture (NA) of 0.33 [45,46]. Therefore, the line patterns of 14nm HP need to be given special attention in the development and process monitoring of EUVL machines. In this study, this pattern is selected as the research object. The detailed experimental conditions are provided in Fig.Β 5. In addition, this article studied 9 key parameters in light source, illumination system, and projection system, whose ranges are also shown in Fig.Β 5.

Β figure: Fig. 5.

Fig. 5. The experimental conditions and critical parameters in this paper.

Download Full Size | PDF

4.2 Verification of the superposition of light intensity distribution

This paper proposes the superposition principle of intensity fluctuations resulting from multi-parameter variations and applied it to the methodology of budget analysis. Therefore, we need to verify whether the light intensity obtained based on the proposed theory matches the actual light intensity distribution.

Due to the complex coupling effect between parameters and the impact on light intensity is difficult to obtain, we compare the relationship between the approximated light intensity distribution using the proposed superposition theory and the actual light intensity distribution, as shown in Fig.Β 6. FigureΒ 6(a) illustrates the correlation between the two intensity distributions mentioned earlier. Our research involved hundreds of experiments, and over half of them exhibited a resemblance to the scenario depicted in Fig.Β 6(a), where the two intensity distributions are almost indistinguishable. These cases are considered to be the general situation. The scenarios with the greatest deviation between the two intensity distributions around TTS among these hundreds of experiments are depicted in Fig.Β 6(b). The ’superposition’ represents the light intensity distribution obtained using Eq.Β (15), while ’actual’ represents the actual light intensity distribution.

Β figure: Fig. 6.

Fig. 6. The comparison of the light intensity distribution obtained through superposition and the actual intensity distribution. a The general situation. b The situation with the maximum deviation between the two intensity distributions around TTS.

Download Full Size | PDF

For most situation, the deviation between the actual light intensity distribution and the light intensity distribution without high-order small term is typically less than 0.5%, as shown in Fig.Β 6(a). In fact, there is virtually no discernible distinction between the two. When the deviation between the two intensity distributions reaches maximum values, as depicted in Fig.Β 6(b), the two intensity distributions exhibit a notable discrepancy only in the region with large light intensity values. The deviation near TTS remains less than 1.65% in hundreds of experiments..

The above verification results fully demonstrate that the proposed superposition theory of light intensity can characterize the actual light intensity distribution accurately.

4.3 Simulation results and evaluation

This paper uses rigorous electromagnetic field simulation to simulate actual lithography results. The line space pattern with 14nm of CD is used is for simulation.

4.3.1 Budget range model and verification

The budget range model is established according to Eq.Β (22). The highest order terms of the CD and PS model are 1 and 3, respectively. The model accuracy is shown in Fig.Β 7(a) and Fig.Β 7(b), respectively. The data volumes for the fitting group and the validation group are 100 and 1900, respectively. For the CD model, the fitting and validation deviations in the model are minimal, with an average error of approximately 0.66% and a maximum error of less than 3.86%. For the PS model, the fitting and validation deviations in the model are minimal, with an average error of approximately 0.16% and a maximum error of less than 0.72%. Thus, the model is accurate enough for the budget analysis of parameters.

Β figure: Fig. 7.

Fig. 7. Model accuracy and the model application. a The prediction accuracy of the budget range model of CD. b The prediction accuracy of the budget range model of PS. c The verification of the budget ranges of CD. d The verification of the budget ranges of PS.

Download Full Size | PDF

The budget ranges of parameters are determined according to Eqs.Β (24) and (25). Considering the industry practice [5] of acceptable CD range being $\pm$10%, for a line/space pattern with a CD of 14nm, the acceptable range would be 12.6-15.4nm. Assuming that the maximum PS allowed by the process is 1/1000 of CD, i.e., the acceptable range would be -0.014nm to 0.014nm. The verification of the budget ranges of CD and PS are presented in Fig.Β 7(c) and Fig.Β 7(d), respectively. The data volumes for both of the upper and lower limit group are 2000. For the budget ranges of parameters of CD, the exposure results for the upper limit group are distributed around 15.4nm, with an average deviation of CD value from 15.4nm of 0.08% and a maximum deviation not exceeding 4%. Similarly, for the lower limit group, the exposure results are distributed around 12.6nm, with an average deviation of CD value from 12.6nm of 0.09% and a maximum deviation not exceeding 3%. For the budget ranges of parameters of PS, this paper only lists the cases of the lower bound group, due to the fact that the PS variations caused by the key parameters only in the negative direction at certain slit positions. The exposure results for the lower limit group are distributed around -0.014nm, with an average deviation of PS value from -0.014nm of 0.62% and a maximum deviation not exceeding 1.5%. These results indicate that the accuracy of the budget range obtained from this model is satisfactory.

The comparison of budget ranges for variable parameters is also shown in this section. Assuming that there are different levels of flare for two different lithography equipment, which are 5% and 10%, respectively. Calculate the budget ranges for the parameters based on Eqs.Β (24) and (25), where TTS is the last parameter to be considered. Taking the budget ranges of CD as an example, calculate the CD changes caused by each parameter according to Eq.Β (21), then calculate the budget proportion of each parameter according to Eq.Β (26).

$$Pro_{fi}=\frac{\Delta CD_{fi}}{CD_{ideal}\cdot 110\%-CD_{ideal}\cdot 90\%}, i=N^+,$$
where $Pro_{fi}$ represents the budget proportion of the parameter $p_i$. The budget proportions of each parameter and the budget ranges of critical parameters are shown in Fig.Β 8. It can be seen from Fig.Β 8 that the budget ranges of critical parameters can be updated dynamically for different machine fingerprints.

Β figure: Fig. 8.

Fig. 8. The budget proportions of each parameter and the budget ranges of critical parameters.

Download Full Size | PDF

4.3.2 Budget ranges of parameters for patterns with multiple pitches

In lithography process, there are multiple patterns on a mask typically. Therefore, we also investigated patterns with various pitches of CD 14nm. The budget range model for every pattern are obtained using the method described above. The budget ranges of parameters for the CD and PS requirements for patterns with multiple pitches are described in this Section.

The relationships between CD and the overall CD changes caused by all the variations of parameters for every pattern are shown in Fig.Β 9(a). The relationships between PS and the overall PS changes caused by all the variations of parameters for every pattern at slit center are shown in Fig.Β 9(b). Different patterns require different levels of the overall CD or PS changes for achieving the same exposure CD or PS value. This results in varying budget ranges of parameters for different patterns. To ensure acceptable exposure results for all patterns, the budget ranges must be suitable for every pattern. Therefore, the budget ranges of system parameters should be the intersection of all pattern budget ranges, as indicated by the purple box in Fig.Β 9.

Β figure: Fig. 9.

Fig. 9. The relationships between lithography results and the overall changes of lithography results. a The relationships between CD and the overall CD changes. b The relationships between CD and the overall PS changes.

Download Full Size | PDF

In order to achieve acceptable exposure results in lithography systems, it is necessary to meet the budget requirements for all patterns’ CDs and PSs simultaneously. This means that all parameters in the lithography system must fall within the intersection of the above-mentioned budget ranges.

5. Discussion

Corner regions pose a significant increase in complexity as they require accounting for the superimposition of multidimensional intensity fluctuations resulting from parameter variations. Our proposed method is suitable for the parts of the patterns that are distant from corners, such as the central region of line patterns. We will systematically study the above challenges and use decompositions of complex patterns in order to justify the methodology for budget analysis of 2D patterns. In the future, we will focus more on the complex patterns that commonly used in integrated circuit manufacturing. In addition, we will also consider more key parameters in the lithography system.

6. Conclusion

To ensure acceptable lithography results and provide potential process guidance, it is extremely important to limit the parameters strictly in the lithography system and provide clear reference ranges of parameters. This paper proposes a novel method based on the superposition of light intensity fluctuations to calculate the budget range of multiple parameters. This method considers the distribution of light intensity after introducing small perturbations to critical parameters, and approximates the light intensity by omitting the coupling effects based on the theory of intensity fluctuations superposition in Section 3. Then determine the mapping relationship between the approximated intensity distribution and the resulting imaging outcomes. By fitting these imaging outcomes with development results, the deviation corresponding to the omitted coupling effects is corrected, thus establishing a model between multiple system parameters and development results indirectly. Finally, the model is used for multi-parameter coupled budget decomposition, obtaining a dynamic multi-parameter budget range that can vary with each critical parameter, thereby achieving the potential goal of guiding lithography processes. The accuracy and effectiveness of the proposed method are demonstrated through experimental results, with average errors for CDs not exceeding 0.09% and maximum errors not exceeding 4%. Similarly, the average error does not exceed 0.62% and the maximum error does not exceed 1.5%. Furthermore, the proposed method allows for dynamic updates of the budget range for parameters when the range of one parameter changes, ensuring reasonable allocation of process budget. Additionally, the method’s applicability to multiple patterns is discussed. Due to its relatively simple modeling process, it can save significant runtime and experimental costs. The proposed method can deeply understand the mechanism of multiple parameters and the source of lithography errors, and further provide clear guidance for the development of new scanners and specific parameter monitoring ranges for machines in operation potentially.

Funding

National Natural Science Foundation of China (62204257, 62274181); Strategic Priority Research Program of Chinese Academy of Sciences (XDA0330303); Youth Innovation Promotion Association Chinese Academy of Sciences (2021115).

Acknowledgments

We acknowledge the support from Beijing Institute of Electronics and Beijing Association for Science and Technology.

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

References

1. Y. Wei, Advanced lithography theory and application of VLSI (Science Press, 2016), Chap. 1.

2. Q. Zhang, Y. Zhang, W. Lu, et al., β€œLitho-AsymVnet: super-resolution lithography modeling with an asymmetric V-net architecture,” Sci. China Inf. Sci. 66(12), 229406 (2023). [CrossRef] Β 

3. Y. Wang, β€œThe driving force for development of ic and system in future: Reducing the power consumption and improving the ratio of performance to power consumption,” Sci. China Inf. Sci. 54(5), 915–935 (2011). [CrossRef] Β 

4. G. E. Moore, β€œCramming more components onto integrated circuits,” Electronics 38(8), 82–85 (1965).

5. R. Seltmann, G. Burbach, A. Parge, et al., β€œMask parameter variation in the context of the overall variation budget of an advanced logic wafer fab,” in 25th European Mask and Lithography Conference (VDE, 2009) pp. 1–13.

6. H. Lee, A. Ranjan, D. Prager, et al., β€œAdvanced profile control and the impact of sidewall angle at gate etch for critical nodes,” Proc. SPIE 6922, 69220T (2008). [CrossRef] Β 

7. C. Chen, Y. Pai, D. Yu, et al., β€œMulti-level overlay techniques for improving dpl overlay control,” Proc. SPIE 8324, 83242A (2012). [CrossRef] Β 

8. Q. Wu, Y. Li, X. Liu, et al., β€œA cdu budget and process window study with euv lithography for 3 nm cfet logic processes and an outlook for future generations,” in 2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT)(IEEE, 2022), pp. 1–4.

9. P. Leray, β€œMetrology challenges for in-line process control,” Proc. SPIE 10145, 1014503 (2017). [CrossRef] Β 

10. N. Aung, W. J. Chung, P. Samudrala, et al., β€œOverlay control for 7nm technology node and beyond,” Proc. SPIE 10587, 9 (2018). [CrossRef] Β 

11. A. Erdmann, P. Evanschitzky, G. Bottiglieri, et al., β€œ3d mask effects in high na euv imaging,” Proc. SPIE 10957, 32 (2019). [CrossRef] Β 

12. J. Lin, L. Dong, T. Fan, et al., β€œLearning-based compressive sensing method for euv lithographic source optimization,” Opt. Express 27(16), 22563–22581 (2019). [CrossRef] Β 

13. R. Wu, L. Dong, X. Ma, et al., β€œCompensation of euv lithography mask blank defect based on an advanced genetic algorithm,” Opt. Express 29(18), 28872–28885 (2021). [CrossRef] Β 

14. A. Zeidler, K.-J. Veenstra, and T. E. Zavecz, β€œAdvanced statistical process control: controlling sub-0.18 um lithography and other processes,” Proc. SPIE 4344, 312–322 (2001). [CrossRef] Β 

15. A. U. Haq and D. Djurdjanovic, β€œRobust control of overlay errors in photolithography processes,” IEEE Trans. Semicond. Manufact. 32(3), 320–333 (2019). [CrossRef] Β 

16. K. Lensing, J. Cain, A. Prabhu, et al., β€œLithography process control using scatterometry metrology and semi-physical modeling,” Proc. SPIE 6518, 651804 (2007). [CrossRef] Β 

17. H. Ren, A. Mani, S. Han, et al., β€œAdvanced process control loop for saqp pitch walk with combined lithography, deposition and etch actuators,” Proc. SPIE 11325, 63 (2020). [CrossRef] Β 

18. C. Gould, β€œAdvanced process control: benefits for photolithography process control,” in 13th Annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference. Advancing the Science and Technology of Semiconductor Manufacturing. ASMC 2002, (IEEE, 2002), pp. 98–100. [CrossRef] Β 

19. G. Yu, T. Xing, and H. Yao, β€œAn investigation on capabilities of polarization control for immersion lithography through simulation,” Chin. Opt. Lett. 3(101), S198–S199 (2005).

20. H. Ressom, M. Musavi, and S. Khan, β€œIntelligent control of critical dimension in photolithography process,” in IJCNN’01. International Joint Conference on Neural Networks. Proceedings (IEEE, 2001), vol. 1, pp. 486–490. [CrossRef] Β 

21. K. M. Monahan, P. J. Lord, C. Hayzelden, et al., β€œApplication of model-based lithographic process control for cost-effective ic manufacturing at 0.13 um and beyond,” Proc. SPIE 3677, 435–446 (1999). [CrossRef] Β 

22. X. Zhao and D. W. Rosen, β€œProcess modeling and advanced control methods for exposure controlled projection lithography,” in 2015 American Control Conference (ACC) (IEEE, 2015), pp. 3643–3648.

23. C. J. Gould, Y. Cui, and S. Louks, β€œAdvanced process control applied to metal layer overlay process,” Proc. SPIE 5378, 28–37 (2004). [CrossRef] Β 

24. Y. K. Kim, P. Samudrala, J.-M. Gomez, et al., β€œCdu budget breakdown as a diagnostic method for imaging sensitivity in hvm,” Proc. SPIE 9780, 97801Q (2016). [CrossRef] Β 

25. S. P. Renwick and J. M. Brown, β€œApplication of cd error budget analysis to arf scanner performance,” Proc. SPIE 4346, 1587–1598 (2001). [CrossRef] Β 

26. J. Leavey, J. Boyle, and A. Skumanich, β€œAdvanced process control based on lithographic defect inspection and reduction,” in 2000 IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop (IEEE, 2000), ASMC 2000 (Cat. No. 00CH37072) pp. 33–40.

27. P. De Bisschop and S. G. Hansen, β€œEmpirical correlator for stochastic local cd uniformity in extreme ultraviolet lithography,” J. Micro/Nanopattern. Mats. Metro. 21(03), 033201 (2022). [CrossRef] Β 

28. A. Latypov, G. Khaira, G. Fenger, et al., β€œProbability prediction of euv process failure due to resist-exposure stochastic: applications of gaussian random fields excursions and rice’s formula,” Proc. SPIE 11323, 140–164 (2020). [CrossRef] Β 

29. S. Zhang, L. Zhang, T. Gai, et al., β€œAberration analysis and control based on fully connected neural network,” in 2021 China Semiconductor Technology International Conference (CSTIC) (IEEE, 2021), pp. 1–3.

30. M. Habets, R. Merks, S. Weiland, et al., β€œA multiphysics modeling approach for thermal aberration prediction and control in extreme ultraviolet lithography,” in Adaptive Optics: Analysis, Methods & Systems, (Optica Publishing Group, 2015), p. AOM4B.2.

31. W. T. Tel, M. J. Kea, and R. Anunciado, Control based on probability density function of parameter, (12 Otc. 2021). US Patent 11,143,971.

32. O. F. Jozephus, A. T. Wilhelmu, J. Plechelmus, et al., Method for controlling a lithographic system, (26 Mar. 2022). US Patent 17,441,168.

33. S. G. Hansen, Lithographic apparatus and method for optimizing illumination using a photolithographic simulation, (17 Jul. 2007). US Patent 7,245,356.

34. N. Davydova, E. van Setten, R. de Kruif, et al., β€œAchievements and challenges of EUV mask imaging,” Proc. SPIE 9256, 925602 (2014). [CrossRef] Β 

35. C. Yang, X. Wang, S. Li, et al., β€œSource mask optimization using real-coded genetic algorithms,” Proc. SPIE 8683, 86831T (2013). [CrossRef] Β 

36. S. D. Hector, S. V. Postnikov, and J. Cobb, β€œEvaluation of the critical dimension control requirements in the itrs using statistical simulation and error budgets,” Proc. SPIE 5377, 555–570 (2004). [CrossRef] Β 

37. A. Chen, W. Lin, and H. Jiang, β€œImpact of non-ideal illumination pupil on imaging performance of lithography,” Opto-Electronic Engineering 40(2), 87–92 (2013). [CrossRef] Β 

38. D. Rui and H. Yang, β€œConstrained pupil balance compensation for specific lithographic illuminator settings,” Appl. Opt. 59(19), 5837–5844 (2020). [CrossRef] Β 

39. W. Yang, W. Huang, W. Xu, et al., β€œAnalysis of mid-spatial frequency surface errors effects on local flare in lithographic projection lens,” Acta Opt. Sin. 33(9), 235–239 (2013). [CrossRef] Β 

40. J. Wang, C.-S. Jin, L.-P. Wang, et al., β€œA model for multilayer analysis in a coated extreme ultra-violet lithography projection system,” Opt. Commun. 332, 339–342 (2014). [CrossRef] Β 

41. M. Shiraishi, T. Oshino, K. Murakami, et al., β€œFlare modeling and calculation on euv optics,” Proc. SPIE 7636, 763629 (2010). [CrossRef] Β 

42. P. Friedberg, Y. Cao, J. Cain, et al., β€œModeling within-die spatial correlation effects for process-design co-optimization,” in Sixth international symposium on quality electronic design (isqed’05), (IEEE, 2005), pp. 516–521.

43. H. F. Dadgour, S.-C. Lin, and K. Banerjee, β€œA statistical framework for estimation of full-chip leakage-power distribution under parameter variations,” IEEE Trans. Electron Devices 54(11), 2930–2945 (2007). [CrossRef] Β 

44. M. Neisser, β€œInternational roadmap for devices and systems lithography roadmap,” J. Micro/Nanopattern. Mats. Metro. 20(04), 044601 (2021). [CrossRef] Β 

45. D. De Simone, L. Kljucar, P. Das, et al., β€œ28nm pitch single exposure patterning readiness by metal oxide resist on 0.33 na euv lithography,” Proc. SPIE 11609, 26 (2021). [CrossRef] Β 

46. D. Xu, W. Gillijns, L. E. Tan, et al., β€œExploration of alternative mask for 0.33 NA EUV single patterning at pitch 28nm,” Proc. SPIE 11854, 69–81 (2021). [CrossRef] Β 

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (9)

Fig. 1.
Fig. 1. The application scenario of the proposed method.
Fig. 2.
Fig. 2. Lithography process flow and imaging system of the projection lithography.
Fig. 3.
Fig. 3. Superposition theory of light intensity fluctuations with multiple key parameter changes.
Fig. 4.
Fig. 4. Procedure of the proposed budget analysis method of multiple key parameters.
Fig. 5.
Fig. 5. The experimental conditions and critical parameters in this paper.
Fig. 6.
Fig. 6. The comparison of the light intensity distribution obtained through superposition and the actual intensity distribution. a The general situation. b The situation with the maximum deviation between the two intensity distributions around TTS.
Fig. 7.
Fig. 7. Model accuracy and the model application. a The prediction accuracy of the budget range model of CD. b The prediction accuracy of the budget range model of PS. c The verification of the budget ranges of CD. d The verification of the budget ranges of PS.
Fig. 8.
Fig. 8. The budget proportions of each parameter and the budget ranges of critical parameters.
Fig. 9.
Fig. 9. The relationships between lithography results and the overall changes of lithography results. a The relationships between CD and the overall CD changes. b The relationships between CD and the overall PS changes.

Equations (26)

Equations on this page are rendered with MathJax. Learn more.

R = k 1 β‹… Ξ» N A ,
I ^ ( x ^ , y ^ ; z ) = ∬ J ^ ~ ( f ^ , g ^ ) [ ∣ ∬ H ^ ~ ( f ^ + f ^ β€² , g ^ + g ^ β€² ; Z ) O ^ ~ ( f ^ β€² , g ^ β€² ) e βˆ’ i 2 Ο€ [ f ^ β€² x ^ , g ^ β€² y ^ ] d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ ,
H ^ ~ ( f ^ , g ^ ; Z ) = H ^ ~ 0 ( f ^ , g ^ ; 0 ) R ( f ^ , g ^ ) e + i 2 Ο€ Ο• ( ρ ^ , Ο† ) ,
H ^ ~ 0 ( f ^ , g ^ ; 0 ) = { 1 i f ρ ^ ΞΈ ≀ sin ⁑ ΞΈ o b j 0 o t h e r w i s e ,
I ^ f i n a l = ∬ [ J ^ ~ + J ^ ~ β€² ] [ ∣ ∬ H ^ ~ O ^ ~ F d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ = ∬ J ^ ~ [ ∣ ∬ H ^ ~ O ^ ~ F d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ + ∬ J ^ ~ β€² [ ∣ ∬ H ^ ~ O ^ ~ F d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ = I ^ + I ^ J ^ ~ β€² ,
I ^ f i n a l = ∬ J ^ ~ [ ∣ ∬ ( H ^ ~ + H ^ ~ β€² ) O ^ ~ F d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ = ∬ J ^ ~ [ ∣ ∬ H ^ ~ O ^ ~ F d f ^ β€² d g ^ β€² + ∬ H ^ ~ β€² O ^ ~ F d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ ,
∬ H ^ ~ O ^ ~ F d f ^ β€² d g ^ β€² = h .
∬ H ^ ~ β€² O ^ ~ F d f ^ β€² d g ^ β€² = h β€² .
I ^ f i n a l = ∬ J ^ ~ ( R e ( h + h β€² ) 2 + I m ( h + h β€² ) 2 ) d f ^ d g ^ = ∬ J ^ ~ ( R e ( h ) 2 + I m ( h ) 2 + R e ( h β€² ) 2 + I m ( h β€² ) 2 + 2 R e ( h ) R e ( h β€² ) + 2 I m ( h ) I m ( h β€² ) ) d f ^ d g ^ ,
I ^ f i n a l = I ^ + I ^ H ^ ~ β€² + o ( I ^ + I ^ H ^ ~ β€² ) ,
I ^ f i n a l = I ^ + I ^ H ^ ~ β€² + o ( I ^ ) ,
I ^ f i n a l = ∬ ( J ^ ~ + J ^ ~ β€² ) [ ∣ ∬ ( H ^ ~ + H ^ ~ β€² ) O ^ ~ F d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ = ∬ ( J ^ ~ + J ^ ~ β€² ) [ ∣ ∬ H ^ ~ O ^ ~ F d f ^ β€² d g ^ β€² + ∬ H ^ ~ β€² O ^ ~ F d f ^ β€² d g ^ β€² ∣ 2 ] d f ^ d g ^ = I ^ + I ^ J ^ ~ β€² + I ^ H ^ ~ β€² + o ( I ^ ) .
I ^ f i n a l = I ^ i d e a l + βˆ‘ i = 1 n I ^ f i + o ( I ^ i d e a l ) , i = 1 , 2 , … , N ,
I ^ = I ^ i d e a l + βˆ‘ i = 1 n I ^ f i + o ( I ^ i d e a l ) ,
I ^ w / o . c e β‰ˆ I ^ i d e a l + βˆ‘ i = 1 n I ^ f i ,
{ Ξ” C D f i = C D p i βˆ’ C D i d e a l = C D p i _ m a x βˆ’ C D p i _ m i n p i _ m a x βˆ’ p i _ m i n β‹… ( p i βˆ’ p i _ m i n ) Ξ” P S f i = P S p i βˆ’ P S i d e a l = P S p i _ m a x βˆ’ P S p i _ m i n p i _ m a x βˆ’ p i _ m i n β‹… ( p i βˆ’ p i _ m i n ) ,
x ( I ^ ) = f βˆ’ 1 ( I ^ ( x ) ) ,
{ x 1 = f βˆ’ 1 ( I ^ ( x 1 ) = T T S ) x 2 = f βˆ’ 1 ( I ^ ( x 2 ) = T T S ) , x 1 > x 2 ,
{ C D = x 1 βˆ’ x 2 = f βˆ’ 1 ( I ^ ( x 1 ) = T T S ) βˆ’ f βˆ’ 1 ( I ^ ( x 2 ) = T T S ) ) P S = x 1 + x 2 2 βˆ’ x 0 = f βˆ’ 1 ( I ^ ( x 1 ) = T T S ) + f βˆ’ 1 ( I ^ ( x 2 ) = T T S ) 2 βˆ’ x 0 ,
f βˆ’ 1 ( I ^ w / o . c e ( x ) ) = f βˆ’ 1 ( I ^ i d e a l + βˆ‘ i = 1 n I ^ f i ) = f βˆ’ 1 ( I ^ i d e a l ) + βˆ‘ i = 1 n f βˆ’ 1 ( I ^ f i ) ,
{ C D w / o . c e = C D i d e a l + βˆ‘ i = 1 n Ξ” C D f i P S w / o . c e = P S i d e a l + βˆ‘ i = 1 n Ξ” P S f i ,
{ C D w . c e = f 1 ( C D w / o . c e ) = βˆ‘ j = 0 m c j ( C D w / o . c e ) j P S w . c e = f 2 ( P S w / o . c e ) = βˆ‘ k = 0 z c k ( P S w / o . c e ) k , j , k , m , z = 0 , 1 , … , N ,
{ f 1 βˆ’ 1 ( ( C D w . c e ) _ ) ≀ C D w / o . c e ≀ f 1 βˆ’ 1 ( ( C D w . c e ) + ) f 2 βˆ’ 1 ( ( P S w . c e ) _ ) ≀ P S w / o . c e ≀ f 2 βˆ’ 1 ( ( P S w . c e ) + ) ,
{ p i β‰₯ ( C D w / o . c e ) _ βˆ’ C D i d e a l C D p i _ m a x βˆ’ C D p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n p i ≀ ( C D w / o . c e ) + βˆ’ C D i d e a l C D p i _ m a x βˆ’ C D p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n p i β‰₯ ( P S w / o . c e ) _ βˆ’ P S i d e a l P S p i _ m a x βˆ’ P S p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n p i ≀ ( P S w / o . c e ) + βˆ’ P S i d e a l P S p i _ m a x βˆ’ P S p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n .
{ p i β‰₯ ( C D w / o . c e ) _ βˆ’ C D i d e a l βˆ’ βˆ‘ i = 1 g Ξ” C D f i C D p i _ m a x βˆ’ C D p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n p i ≀ ( C D w / o . c e ) + βˆ’ C D i d e a l βˆ’ βˆ‘ i = 1 g Ξ” C D f i C D p i _ m a x βˆ’ C D p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n p i β‰₯ ( P S w / o . c e ) _ βˆ’ P S i d e a l βˆ’ βˆ‘ i = 1 g Ξ” P S f i P S p i _ m a x βˆ’ P S p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n p i ≀ ( P S w / o . c e ) + βˆ’ P S i d e a l βˆ’ βˆ‘ i = 1 g Ξ” P S f i P S p i _ m a x βˆ’ P S p i _ m i n β‹… ( p i _ m a x βˆ’ p i _ m i n ) + p i _ m i n .
P r o f i = Ξ” C D f i C D i d e a l β‹… 110 % βˆ’ C D i d e a l β‹… 90 % , i = N + ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.