Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Compact and low power consumption tunable photonic crystal nanobeam cavity

Open Access Open Access

Abstract

A proof-of-concept for a new and entirely CMOS compatible tunable nanobeam cavity is demonstrated in this paper. Preliminary results show that a compact nanobeam cavity (~20 μm2) with high Q-factor (~50,000) and integrated with a micro-heater atop, is able of tuning the resonant wavelength up to 15 nm with low power consumption (0.35nm/mW), and of attaining high modulation depth with only ~100 μW. Additionally, a tunable bi-stable behavior is reported.

©2013 Optical Society of America

1. Introduction

Silicon photonics has been considered a promising technology, mainly due to its intrinsic characteristic of allowing high integration of optical devices in small footprints and to its synergy with existing CMOS processes, promising to be useful in a wide range of applications, comprising conventional long-distance down to intra-chip communications and optical sensors in general [1].

For the past years, several research groups have developed essential building blocks and proof-of-concept devices overcoming some of the challenges in Silicon Photonics platform, for example efficient coupling systems from optical fibers to optical waveguides [2], low-loss optical waveguides [3], electro-optic modulators [4,5], all optical devices [6], reconfigurable devices [7, 8], and unidirectional devices [9].

In addition to those devices, tunable and non-tunable resonators are classes of devices of great relevance, since they have played an important role as a versatile building block, enabling the demonstration of several specific devices and functionalities in Silicon Photonics platform, such as tunable lasers [10], filters [11], modulators [4], switches [8], and sensors [12, 13].

Amongst the main resonators reported in the Silicon Photonics technical literature, the ring resonators and the photonic crystal nanobeam cavities are the most used structures, and have been widely used to demonstrate the feasibility of the devices previously mentioned. These structures have distinct and complementary characteristics regarding their functionalities, depending on the application. Figure 1 schematically summarizes their functionalities, showing typical structures for both resonators and their respective optical responses, where the main differences regarding geometry, output optical response, and the Free Spectral Range – FSR can be observed [1018].

 figure: Fig. 1

Fig. 1 Schematic representation and optical response for typical ring resonator and regular nanobeam cavity.

Download Full Size | PDF

Based on Fig. 1, one can observe that nanobeam cavities can be designed to be ultra-compact, present high FSR and Q-factor on a wide spectral window [1417], whereas ring resonators present limited FSR, which may be up to a certain extent increased by reducing its size [4, 10, 18]. However, reducing ring resonator size results in decreased Q-factor, which can make the device useless for several applications. On the other hand, nanobeam cavities present a band-pass nature [1417], whereas ring resonators present a band-stop behavior [4, 6, 18], making the ring resonator very attractive for several applications, such as Wavelength-Division Multiplexing – WDM and others [1].

In addition to the characteristics presented by both resonators, it is worth to bring up the tuning capability, which relies on one of the main requirements of reconfigurable networks [1] and has been demonstrated by means of different effects, such as thermo-optic effect [8], free carrier injection [4], opto-mechanic [19] and electrostatic forces [20, 21].

In this paper, we present a novel tunable and compact device by means of a special designed structure that is able to merge the characteristics of the ring resonators and nanobeam cavities in a single device, as well as providing tunability of the resonant wavelength by means of thermo-optical effect. The device is schematically depicted in Fig. 2 , which consists of a special designed nanobeam cavity coupled to a bus waveguide and integrated with micro-heater atop.

 figure: Fig. 2

Fig. 2 Schematic representation of the proposed device.

Download Full Size | PDF

This structure is able to provide large FSR, high Q-factor, band-stop behavior, low power consumption, and CMOS compatibility, besides being straight forward to fabricate compared to the other techniques. To the authors’ knowledge, this is the first time that such a structure has been reported.

This paper is organized as follows: in the second section, the design of the structure is assessed by means of Finite-Difference Time-Domain - FDTD and Finite Element - FE simulations; in the third section, the fabricated device and fabrication process are discussed; in the fourth section, experimental results are presented and discussed; finally, we show our main conclusions.

2. Theoretical approach

Our modeling was based on 3D-FDTD simulations to design the optical layer, and 2D-FE to design the micro-heater. Both layers were, respectively, simulated using professional design tools from R-Soft Design Group, Inc., and Comsol, Inc.

First, we designed the mirror section of the nanobeam cavity by launching an optical pulse into the periodic structure and observing the behavior of the transmitted and reflected light, finding out high reflectivity within a desired spectral window, similarly to our previous works [13].

On the mirror section, the width of the waveguide, the diameter of the holes, as well as the distance between them were suitably chosen in order to introduce high reflectivity within the range comprising from 1400 nm to 1700 nm. The separation distance and diameter of the holes are shown on Table 1 (mirror section). Figures 3(a) and 3(b) show, respectively, the calculated normalized transmission and reflectivity as a function of the wavelength and number of holes.

Tables Icon

Table 1. Design Parameters

 figure: Fig. 3

Fig. 3 Mirror section (a) transmission and (b) reflection as a function of number of holes and wavelength.

Download Full Size | PDF

Based on Fig. 3, one can observe that the periodic structure acts as a reflector within a wide bandwidth of approximately 300 nm, where one can notice that for particular spectral regions, which in turn depend on the number of roles, the reflectivity value varies between 60% and near 100%. One can also see, from the flatness of the transmission curves and from spectral oscillations, that the number of holes also plays a role on the device's optimization. This spectral behavior is assumed to be mostly associated with scattering losses due to the periodic nature of the structure, what can be inferred from the evidence that transmitted and reflected optical powers do not sum up to 100%.

The mirror section with nine holes was chosen in our design in order to guarantee that light would be highly reflected within the wavelength range of interest. In addition to that, we designed a tapered cavity length in order to smooth the reflected optical response, reducing the scattering and the modal volume of the resonant mode [1416], as well as to provide a single resonance within a large spectral window of high reflectivity, in order to increase the Q-factor in this region [1417].

The parameters used to design our cavity are shown in Table 1, where the mirror and taper sections were parameterized in terms of a length constant, a. It is worth pointing out that our cavity is symmetric with respect to its center; therefore, both mirror and half of taper sections are identical; thus, the taper section parameters are shown for half length of the cavity. The column Distance between hole Tn and previous depicts the distance between the current hole in the taper section (Tn) and the previous one. T1 is the first hole in the taper section, located near the mirror section, whereas T6 is the hole in the center of the cavity.

Figure 4 shows 3D-FDTD simulations based on the data shown in Table 1. Figure 4(a) shows the device's optical response in terms of transmission and reflection, where the entire structure, nanobeam cavity coupled to the bus waveguide, is labeled as device. In addition to that, Fig. 4(a) also shows the transmission and reflection for half nanobeam cavity, i.e., one mirror section added to half tapered length, which is labeled taper + mirror. One can observe that a suitably designed taper section smoothes up the reflection and can match the resonant wavelength with the reflection peak. It results in a nanobeam cavity with high Q-factor, and high FSR, as showed in Fig. 4(b).

 figure: Fig. 4

Fig. 4 Normalized 3D-FDTD simulated optical response, transmission and reflected power: (a) for the whole device and the taper + mirror section, ranging from 1300nm to 1800nm; and (b) for the whole device only, ranging from 1550nm to 1700nm.

Download Full Size | PDF

In addition to the optical response of the device, we also performed 3D-FDTD simulations to assess how the optical response and the resonant mode, under the original resonant wavelength, are affected by temperature variation. We considered the well-known- thermo-optic coefficient for silicon and for silicon dioxide [7, 8] and performed simulations to assess the optical response of the structure under different temperature variations (ΔT), as well as the resonant mode profile, relative to the initial resonant wavelength, under four different conditions, ΔT = 30, 60, 90 and 120 K.

The optical response and the resonant mode profiles as a function of temperature variation are shown in Fig. 5 . Figures 5(a) and 5(b) show, respectively, the normalized transmission at the output port of the device and its back reflection for different temperature variation; Fig. 5(c) shows the theoretical resonant optical mode for the initial resonant wavelength, i.e., ΔT = 0, and the transition of the resonant to the off-resonant condition as temperature increases.

 figure: Fig. 5

Fig. 5 Normalized (a) transmission and (b) back reflection optical response for different temperature variations and (c) behavior of the resonant mode as a function of the temperature variation.

Download Full Size | PDF

Figures 5(a) and 5(b) were normalized regarding input optical power and Fig. 5(c) regarding the peak of electric field inside the structure. One can observe the resonant condition in Fig. 5(c) (top plot), where almost no energy is transmitted, being mostly back-reflected. As temperature increases, the resonant mode runs off the resonant condition, only few degrees of temperature variation are enough to turn off resonance condition owing to the high Q-factor.

In order to find out a suitable top cladding thickness to avoid a non-negligible overlap between the optical mode and the micro-heater, but still keeping the heater close enough to ensure that the thermal mode profile will significantly overlap with the optical waveguides, we developed a simplified model by means of two different analyses.

First, we simulated, by means of a mode solver from RSoft Design Group, the optical mode profile for a single waveguide, considering a wavelength of 1653.2 nm, which is 30 nm longer than the one used in Fig. 5(c). The results are shown in Fig. 6(a) and Fig. 6(b). Figure 6(b) shows that the optical mode requires a top cladding of at least 1 μm to symmetrically confine the optical mode, being negligible the electric field amplitude beyond 1 μm of silicon dioxide top cladding.

 figure: Fig. 6

Fig. 6 (a) Theoretical thermal mode profile for the device’s cross section overlapping silicon waveguides and (b) theoretical resonant shift as a function of the temperature variation.

Download Full Size | PDF

Considering fabrication using silicon-on-insulator platform, the required thickness to clad the silicon waveguide (220 nm) has also to be taken into account. Therefore, in order to guarantee that the optical mode will be uniformly confined and to prevent from small intrinsic deviations along the fabrication process, we chose a cladding thickness of 1.5 μm to ensure that the optical mode will not be affected by the proximity of the micro-heater (Fig. 6(a)).

On the other hand, in order to design the heater and its thermal profile, our design was based on 2D-FE method. The heater was designed with Nichrome and its dimensions are 2.5 μm wide, and 200 nm thick, Fig. 6(c) shows the simulated thermal behavior provided by the designed heater overlapping silicon waveguides. Figure 6(d) shows the linear sensitivity of the resonant shift as a function of the temperature variation, which was obtained from simulations showed in Fig. 5(a) and Fig. 5(b). Based on Fig. 6(d), one can observed that the theoretical shift of the resonant wavelength obeys a linear ratio of 0.07 nm/K; this result is similar to that presented in our previous work [8].

Therefore, based on this simplified and independent model, one can estimate a top cladding thickness to ensure that the thermal mode will overlap the optical waveguide but the optical mode will not overlap the heater.

3. Fabrication and characterization

The fabrication of our device is divided in two distinct layers: the optical layer and the metal layer. The optical layer was fabricated by means of direct e-beam lithography over silicon on insulator substrate with negative tone e-beam resist, followed by dry etching. A thick layer of 1.5 μm of silicon dioxide was deposited by means of plasma-enhanced chemical vapor deposition (PECVD).

The metal layer was built in two steps using aligned photolithography and positive photoresist with inversion process; the first step consisted of photolithography of the micro-heater, followed by 200-nm Nichrome deposition and then lift-off; the second step consisted of contact pads and feedline photolithography, followed by (5 nm / 270 nm) Ti/Au deposition and, finally, lift-off.

The fabricated device is shown in Fig. 7 , where Fig. 7(a) and Fig. 7(b) show scanning electron microscopy (SEM) images of the fabricated device after exposed and etched for two distinct magnifications, Fig. 7(c) shows the finished device with all layers.

 figure: Fig. 7

Fig. 7 (a) and (b) device’s micrographs took from SEM, showing the device after exposed and etched, (c) final device passivated with silicon dioxide layer and integrated with micro-heater and pad contacts atop.

Download Full Size | PDF

We analyzed the electrical properties of the micro-heater by means of a semiconductor analyzer and scanned the electrical current versus voltage in order to measure the resistance of our heater, which was found to be around 400 Ω.

Optical measurements used nano-positioners to align polarization maintaining lensed optical fibers onto the sample. An Agilent tunable laser model 81980A was used as light source, an Agilent fiber-coupled power meter model 81636B was used to measure transmitted signals. A Keithley precision current source model 2400 was used to control the electrical current passing through the micro-heater.

4. Experimental results

The device was carefully measured in order to assess its extinction ratio, Q-factor, FSR, resonant shift and electro-optical efficiency. Figure 8(a) shows the device’s optical response as a function of the wavelength for three different values of electrical power applied to the heater, where one can observe high extinction ratio, around 10 dB.

 figure: Fig. 8

Fig. 8 (a) Device’s optical response for several electrical power values applied to the heater; (b) modulation depth as a function of electrical power.

Download Full Size | PDF

The resonant wavelength without any bias current applied on the heater was found at 1570.38 nm and this is the only resonant wavelength observed within a spectral window that comprises our measurement capability, which is of 100 nm, as per our original design. In addition to that, we observed a Q-factor of approximately 50,000, which was estimated by means of fitting a Gaussian curve on the resonance and extract the full width at half maximum (FWHM), which is around 0.031nm, and then estimating the Q-factor by means of λ/Δλ [17,19].

Figure 8(b) shows the modulation depth as a function of the electrical power applied to the heater, where one can observe that a high extinction ratio can be achieved within a small range of electrical power of 100 μW.

It is worth pointing out that none of the traditional wet processes to reduce roughness sidewall and increase the Q-factor [3, 22] was used in our fabrication process, what evidences the good quality of our present fabrication process, as well as ensures a potential for further quality improvements of the device's performance.

In order to assess the resonance’s behavior as a function of the electrical power, we experimentally measured the resonant shift as a function of the electrical current applied to the micro-heater, and thus the resonance shift as a function of the electrical power.

First, we used a current source ranging from 0 to 9 mA, corresponding to approximately an electrical power ranging from 0 to 30 mW, since the resistance of our heater is around 400 Ω. Figure 9(a) show the resonant shift as a function of the electrical current and Fig. 9(b) shows the resonant shift as a function of the electrical power.

 figure: Fig. 9

Fig. 9 Resonant shift as a function of the (a) electrical current applied on micro-heater (Media 1) and (b) electrical power.

Download Full Size | PDF

Based on Fig. 9(b), one can observe an almost linear dependence of the resonant shift with electrical power, where we observed a ratio of approximately 0.35 nm/mW.

All measurements were carefully performed to preserve the device and not damage the heater; however, we pushed the limits to determine the maximum allowed resonance shift that the heater would be able to provide. We observed that it was able to support a maximum current of approximately 10.5 mA, i.e., approximately 45 mW; providing a maximum shift of approximately 15 nm.

Taking into account the theoretical analysis showed in Fig. 6(b), where it was noticed that the resonance shift as a function of the temperature variation obeys a linear ratio of approximately 0.07 nm/K, we can theoretically infer that the maximum temperature variation that our heater is able to provide to the silicon waveguides before melting down is around 215 K, since we observed that the maximum allowed shift is around 15nm.

In order to assess how fast our device is able to switch from/to the resonant wavelength, we applied a square-time-domain electrical power waveform on the micro-heater, by means of a function generator, and detected the modulated optical signal to obtain the rise/fall time under the conditions shown in Fig. 8(b), i.e., λ = 1570.38 nm and 100 μW of switching power. Figures 10(a) and 10(b) show the results.

 figure: Fig. 10

Fig. 10 Modulated and detected signal for λ = 1570.38 nm, (a) interval from 0 to 500 μs, and (b) from 0 to 100μs.

Download Full Size | PDF

Figure 10(b) shows that the rise and fall time are approximately 15μs, being primarily limited by the heating diffusion speed of the silicon dioxide cladding layer.

In addition to the time response, we also investigated the non-linear characteristics in our device. It is well-known that nanobeam cavities with high Q-factor and low modal volume can concentrate high optical energy, resulting in non-negligible two-photon absorption, which in turn leads to the generation of heat by means of the relaxation of the nonlinearly photo-generated carriers [2326]. This heat can red-shift the device’s resonant wavelength as the input power increases, resulting in optical bistability depending on the optical power. This property has been investigated for several researchers, promising to be attractive for several applications [24].

Hence, we assessed the optical response of our device for different input optical power and observed an induced spectral broadening and a sharp drop as the optical power is increased. These results are shown in Fig. 11(a) , where the broadening and the sharp drop indicates a strong evidence for optical bistability, which are explained by the resonance locking due to the simultaneously combined effect of the laser sweeping direction (from shorter to longer wavelengths) and the thermooptical resonance red-shift; the behavior shown in Fig. 11 is a well-known fingerprint of thermooptical effect on microcavities [25].

 figure: Fig. 11

Fig. 11 (a) Device’s optical response for different input optical power showing the transition between linear and non-linear behavior; (b) tunable devices optical response under non-linear regime for different values of electrical current.

Download Full Size | PDF

On chip optical bistability has been demonstrated in our past work [26], and other researchers demonstrated how to make this non-linearity appear with ultra-low optical power [23]; here, once the bi-stable resonance is excited, we demonstrated that we can tune this bi-stable behavior without increasing the optical power and then tune its spectral position. Figure 11(b) shows the tuning of bi-stable resonance for different values electrical current, keeping the same input optical power.

Control and tuning of the bi-stable resonance is a unique characteristic that our device has demonstrated, promising to help overcoming challenges of using optical bistability in several applications [24]. We emphasize that, to the authors’ knowledge, this is the first time that tunable optical bi-stable resonance is thermally (by means of an external micro-heater) tuned on chip, showing unique characteristics that may open the door for several devices and applications [23, 24].

5. Conclusions

In summary, we have theoretically and experimentally demonstrated a tunable photonic crystal nanobeam cavity with high extinction ratio, high Q-factor and single resonant mode within a wide spectral range, as well as capability of shifting the resonant wavelength up to 15 nm with a band-stop optical response. Additionally, we have demonstrated that the rise/fall time can be as fast as 15μs.

We also reported the non-linear characteristics of our device and showed that bi-stable resonances can be tuned, keeping the same input optical power. Thereby, the new device presents special characteristics that may open the door for several applications and a new variety of devices to be useful in telecommunications, spectroscopy and sensors in general.

Acknowledgment

The authors thank CAPES and CNPq (Brazilian foundations) and the National Science Foundation through NSF ERC Center for Integrated Access Networks (Grant EEC-0812072), as well as the Electronic Warfare Laboratory at ITA, Photonics Division at IEAv, and the Kavli Nanoscience Institute at Caltech, for the technical support.

References and links

1. L. Pavesi and G. Guillot, (Optical Interconnects - the silicon approach) (Springer-Verlag, 2006).

2. V. R. Almeida, R. R. Panepucci, and M. Lipson, “Nanotaper for compact mode conversion,” Opt. Lett. 28(15), 1302–1304 (2003). [CrossRef]   [PubMed]  

3. D. K. Sparacin, S. J. Spector, and L. C. Kimerling, “Silicon waveguide sidewall smoothing by wet chemical oxidation,” J. Lightwave Technol. 23(8), 2455–2461 (2005). [CrossRef]  

4. Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, “Micrometre-scale silicon electro-optic modulator,” Nature 435(7040), 325–327 (2005). [CrossRef]   [PubMed]  

5. D. J. Thomson, F. Y. Gardes, Y. Hu, G. Mashanovich, M. Fournier, P. Grosse, J.-M. Fedeli, and G. T. Reed, “High contrast 40Gbit/s optical modulation in silicon,” Opt. Express 19(12), 11507–11516 (2011). [CrossRef]   [PubMed]  

6. V. R. Almeida, C. A. Barrios, R. R. Panepucci, and M. Lipson, “All-optical control of light on a silicon chip,” Nature 431(7012), 1081–1084 (2004). [CrossRef]   [PubMed]  

7. W. S. Fegadolli, V. R. Almeida, and J. E. B. Oliveira, “Reconfigurable silicon thermo-optical device based on spectral tuning of ring resonators,” Opt. Express 19(13), 12727–12739 (2011). [CrossRef]   [PubMed]  

8. W. S. Fegadolli, G. Vargas, X. Wang, F. Valini, L. A. M. Barea, J. E. B. Oliveira, N. Frateschi, A. Scherer, V. R. Almeida, and R. R. Panepucci, “Reconfigurable silicon thermo-optical ring resonator switch based on Vernier effect control,” Opt. Express 20(13), 14722–14733 (2012). [CrossRef]   [PubMed]  

9. L. Feng, Y. L. Xu, W. S. Fegadolli, M. H. Lu, J. E. B. Oliveira, V. R. Almeida, Y. F. Chen, and A. Scherer, “Experimental demonstration of a unidirectional reflectionless parity-time metamaterial at optical frequencies,” Nat. Mater. 12(2), 108–113 (2012). [CrossRef]   [PubMed]  

10. X. Wang, T. Liu, V. R. de Almeida, and R. R. Panepucci, “On-chip silicon photonic wavelength control of optical fiber lasers,” Opt. Express 16(20), 15671–15676 (2008). [CrossRef]   [PubMed]  

11. F. Xia, M. Rooks, L. Sekaric, and Y. Vlasov, “Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects,” Opt. Express 15(19), 11934–11941 (2007). [CrossRef]   [PubMed]  

12. T. Claes, W. Bogaerts, and P. Bienstman, “Vernier-cascade label-free biosensor with integrated arrayed waveguide grating for wavelength interrogation with low-cost broadband source,” Opt. Lett. 36(17), 3320–3322 (2011). [CrossRef]   [PubMed]  

13. B. Schmidt, V. Almeida, C. Manolatou, S. Preble, and M. Lipson, “Nano-cavity in a Silicon waveguide for ultra-sensitive detection,” Appl. Phys. Lett. 85, 4854–4856 (2004).

14. Q. Quan and M. Loncar, “Deterministic design of wavelength scale, ultra-high Q photonic crystal nanobeam cavities,” Opt. Express 19(19), 18529–18542 (2011). [CrossRef]   [PubMed]  

15. C. Sauvan, G. Lecamp, P. Lalanne, and J. Hugonin, “Modal-reflectivity enhancement by geometry tuning in Photonic Crystal microcavities,” Opt. Express 13(1), 245–255 (2005). [CrossRef]   [PubMed]  

16. M. W. McCutcheon and M. Loncar, “Design of a silicon nitride photonic crystal nanocavity with a Quality factor of one million for coupling to a diamond nanocrystal,” Opt. Express 16(23), 19136–19145 (2008). [CrossRef]   [PubMed]  

17. J. S. Foresi, P. R. Villeneuve, J. Ferrera, E. R. Thoen, G. Steinmeyer, S. Fan, J. D. Joannopoulos, L. C. Kimerling, H. I. Smith, and E. P. Ippen, “Photonic-bandgap microcavities in optical waveguides,” Nature 390(6656), 143–145 (1997). [CrossRef]  

18. W. S. Fegadolli, J. E. B. Oliveira, and V. R. Almeida, “Highly linear electro-optic modulator based on ring resonator,” Microw. Opt. Technol. Lett. 53(10), 2375–2378 (2011). [CrossRef]  

19. P.B. Deotare, I.B. Bulu, I.W. Frank, Q. Quan, Y. Zhang, R. Ilic, and M. Lončar, “Broadband Reconfiguration of OptoMechanical Filters,” Nat, Commun. 846 (2012).

20. I. W. Frank, P. B. Deotare, M. W. McCutcheon, and M. Lončar, “Programmable photonic crystal nanobeam cavities,” Opt. Express 18(8), 8705–8712 (2010). [CrossRef]   [PubMed]  

21. R. Perahia, J. D. Cohen, S. Meenehan, T. P. Alegre, and O. Painter, “Electrostatically tunable optomechanical “zipper” cavity laser,” Appl. Phys. Lett. 97(19), 191112 (2010). [CrossRef]  

22. P. B. Deotare, M. W. McCutcheon, I. W. Frank, M. Khan, and M. Loncar, “High quality factor photonic crystal nanobeam cavities,” Appl. Phys. Lett. 94(12), 121106 (2009). [CrossRef]  

23. L. D. Haret, T. Tanabe, E. Kuramochi, and M. Notomi, “Extremely low power optical bistability in silicon demonstrated using 1D photonic crystal nanocavity,” Opt. Express 17(23), 21108–21117 (2009). [CrossRef]   [PubMed]  

24. H. Gibbs, “Optical Bistability: Controlling Light with Light,” Academic Press, Orlando, (1985).

25. M. Notomi, A. Shinya, S. Mitsugi, G. Kira, E. Kuramochi, and T. Tanabe, “Optical bistable switching action of Si high-Q photonic-crystal nanocavities,” Opt. Express 13(7), 2678–2687 (2005). [CrossRef]   [PubMed]  

26. V. R. Almeida and M. Lipson, “Optical bistability on a silicon chip,” Opt. Lett. 29(20), 2387–2389 (2004). [CrossRef]   [PubMed]  

Supplementary Material (1)

Media 1: MOV (1260 KB)     

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (11)

Fig. 1
Fig. 1 Schematic representation and optical response for typical ring resonator and regular nanobeam cavity.
Fig. 2
Fig. 2 Schematic representation of the proposed device.
Fig. 3
Fig. 3 Mirror section (a) transmission and (b) reflection as a function of number of holes and wavelength.
Fig. 4
Fig. 4 Normalized 3D-FDTD simulated optical response, transmission and reflected power: (a) for the whole device and the taper + mirror section, ranging from 1300nm to 1800nm; and (b) for the whole device only, ranging from 1550nm to 1700nm.
Fig. 5
Fig. 5 Normalized (a) transmission and (b) back reflection optical response for different temperature variations and (c) behavior of the resonant mode as a function of the temperature variation.
Fig. 6
Fig. 6 (a) Theoretical thermal mode profile for the device’s cross section overlapping silicon waveguides and (b) theoretical resonant shift as a function of the temperature variation.
Fig. 7
Fig. 7 (a) and (b) device’s micrographs took from SEM, showing the device after exposed and etched, (c) final device passivated with silicon dioxide layer and integrated with micro-heater and pad contacts atop.
Fig. 8
Fig. 8 (a) Device’s optical response for several electrical power values applied to the heater; (b) modulation depth as a function of electrical power.
Fig. 9
Fig. 9 Resonant shift as a function of the (a) electrical current applied on micro-heater (Media 1) and (b) electrical power.
Fig. 10
Fig. 10 Modulated and detected signal for λ = 1570.38 nm, (a) interval from 0 to 500 μs, and (b) from 0 to 100μs.
Fig. 11
Fig. 11 (a) Device’s optical response for different input optical power showing the transition between linear and non-linear behavior; (b) tunable devices optical response under non-linear regime for different values of electrical current.

Tables (1)

Tables Icon

Table 1 Design Parameters

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.